Efficient AC and noise analysis of two-tone RF circuits
DAC '96 Proceedings of the 33rd annual Design Automation Conference
FastPep: a fast parasitic extraction program for complex three-dimensional geometries
ICCAD '97 Proceedings of the 1997 IEEE/ACM international conference on Computer-aided design
Simulation methods for RF integrated circuits
ICCAD '97 Proceedings of the 1997 IEEE/ACM international conference on Computer-aided design
Tools and methodology for RF IC design
DAC '98 Proceedings of the 35th annual Design Automation Conference
Algorithm 777: HOMPACK90: a suite of Fortran 90 codes for globally convergent homotopy algorithms
ACM Transactions on Mathematical Software (TOMS)
Improving the memory-system performance of sparse-matrix vector multiplication
IBM Journal of Research and Development
Controlled iterative methods for solving polynomial systems
ISSAC '98 Proceedings of the 1998 international symposium on Symbolic and algebraic computation
An object-oriented framework for block preconditioning
ACM Transactions on Mathematical Software (TOMS)
Simulation of high-Q oscillators
Proceedings of the 1998 IEEE/ACM international conference on Computer-aided design
Cache optimization in scientific computations
Proceedings of the 1999 ACM symposium on Applied computing
Robust rational function approximation algorithm for model generation
Proceedings of the 36th annual ACM/IEEE Design Automation Conference
Efficient capacitance computation for structures with non-uniform adaptive surface meshes
Proceedings of the 36th annual ACM/IEEE Design Automation Conference
Multi-time simulation of voltage-controlled oscillators
Proceedings of the 36th annual ACM/IEEE Design Automation Conference
The generic graph component library
Proceedings of the 14th ACM SIGPLAN conference on Object-oriented programming, systems, languages, and applications
Computational Optimization and Applications
Mining and visualizing recommendation spaces for elliptic PDEs with continuous attributes
ACM Transactions on Mathematical Software (TOMS) - Special issue in honor of John Rice's 65th birthday
ASP-DAC '00 Proceedings of the 2000 Asia and South Pacific Design Automation Conference
Parallel preconditioners for elliptic PDEs
Supercomputing '96 Proceedings of the 1996 ACM/IEEE conference on Supercomputing
Parallel multilevel k-way partitioning scheme for irregular graphs
Supercomputing '96 Proceedings of the 1996 ACM/IEEE conference on Supercomputing
A comparison of three programming models for adaptive applications on the Origin2000
Proceedings of the 2000 ACM/IEEE conference on Supercomputing
Proceedings of the 2001 joint ACM-ISCOPE conference on Java Grande
ICS '01 Proceedings of the 15th international conference on Supercomputing
Efficient large-scale power grid analysis based on preconditioned krylov-subspace iterative methods
Proceedings of the 38th annual Design Automation Conference
Scalable, hydrodynamic and radiation-hydrodynamic studies of neutron stars mergers
SC '97 Proceedings of the 1997 ACM/IEEE conference on Supercomputing
Parallel threshold-based ILU factorization
SC '97 Proceedings of the 1997 ACM/IEEE conference on Supercomputing
A solenoidal basis method for efficient inductance extraction
Proceedings of the 39th annual Design Automation Conference
Subdivision-based multilevel methods for large scale engineering simulation of thin shells
Proceedings of the seventh ACM symposium on Solid modeling and applications
Robust treatment of collisions, contact and friction for cloth animation
Proceedings of the 29th annual conference on Computer graphics and interactive techniques
A survey of graph layout problems
ACM Computing Surveys (CSUR)
Physical cloth simulation on a PC cluster
EGPGV '02 Proceedings of the Fourth Eurographics Workshop on Parallel Graphics and Visualization
Application of parallel implicit methods to edge-plasma numerical simulations
Journal of Computational Physics
An assessment of linear versus nonlinear multigrid methods for unstructured mesh solvers
Journal of Computational Physics
Algebraic splitting for incompressible Navier-Stokes equations
Journal of Computational Physics
An implicit, nonlinear reduced resistive MHD solver
Journal of Computational Physics
Journal of Computational and Applied Mathematics
Journal of Computational and Applied Mathematics - Special issue: Proceedings of the 9th International Congress on computational and applied mathematics
Multilevel optimization for large-scale circuit placement
Proceedings of the 2000 IEEE/ACM international conference on Computer-aided design
Applied Numerical Mathematics - Developments and trends in iterative methods for large systems of equations—in memoriam Rüdiger Weiss
A comparison of three programming models for adaptive applications on the origin2000
Journal of Parallel and Distributed Computing
Exploiting Intermediate Sparsity in Computing Derivatives for a Leapfrog Scheme
Computational Optimization and Applications
Parallel algorithms for indefinite linear systems
Parallel Computing - Parallel matrix algorithms and applications
Generalized least-squares polynomial preconditioners for symmetric indefinite linear equations
Parallel Computing - Parallel matrix algorithms and applications
A continuation and bifurcation technique for Navier-Stokes flows
Journal of Computational Physics
Nodal high-order methods on unstructured grids
Journal of Computational Physics
Preconditioning techniques for large linear systems: a survey
Journal of Computational Physics
A new iterative technique for large and dense linear systems from the MEI method in electromagnetics
Applied Mathematics and Computation
Efficient algebraic solution of reaction-diffusion systems for the cardiac excitation process
Journal of Computational and Applied Mathematics
Registration Assisted Image Smoothing and Segmentation
ECCV '02 Proceedings of the 7th European Conference on Computer Vision-Part IV
A Multipole Approach for Preconditioners
ICCS '02 Proceedings of the International Conference on Computational Science-Part II
Performance Prediction for Parallel Iterative Solvers
ICCS '02 Proceedings of the International Conference on Computational Science-Part II
ICCS '02 Proceedings of the International Conference on Computational Science-Part I
Parallel Iterative Methods in Modern Physical Applications
ICCS '02 Proceedings of the International Conference on Computational Science-Part II
A High Performance Algorithm for Incompressible Flows Using Local Solenoidal Functions
IPDPS '02 Proceedings of the 16th International Parallel and Distributed Processing Symposium
Robust Parallel ILU Preconditioning Techniques for Solving Large Sparse Matrices
IPDPS '02 Proceedings of the 16th International Parallel and Distributed Processing Symposium
A Parallel Algebraic Preconditioner for the Schur Complement System
IPDPS '01 Proceedings of the 15th International Parallel & Distributed Processing Symposium
Fast Inductance Extraction of Large VLSI Circuits
IPDPS '02 Proceedings of the 16th International Parallel and Distributed Processing Symposium
Runtime Adaptation of an Iterative Linear System Solution to Distributed Environments
PARA '00 Proceedings of the 5th International Workshop on Applied Parallel Computing, New Paradigms for HPC in Industry and Academia
Object-Oriented Approach to Finite Element Modeling on Clusters
PARA '00 Proceedings of the 5th International Workshop on Applied Parallel Computing, New Paradigms for HPC in Industry and Academia
HUTI: Framework for Iterative Solvers
PARA '02 Proceedings of the 6th International Conference on Applied Parallel Computing Advanced Scientific Computing
Out-of-Core Solution of Large Linear Systems of Equations Arising from Stochastic Modelling
PAPM-PROBMIV '02 Proceedings of the Second Joint International Workshop on Process Algebra and Probabilistic Methods, Performance Modeling and Verification
pARMS: A Package for Solving General Sparse Linear Systems on Parallel Computers
PPAM '01 Proceedings of the th International Conference on Parallel Processing and Applied Mathematics-Revised Papers
Internet Document Filtering Using Fourier Domain Scoring
PKDD '01 Proceedings of the 5th European Conference on Principles of Data Mining and Knowledge Discovery
FEM Computations on Clusters Using Different Models of Parallel Programming
PPAM '01 Proceedings of the th International Conference on Parallel Processing and Applied Mathematics-Revised Papers
ISHPC '02 Proceedings of the 4th International Symposium on High Performance Computing
Polynomial Preconditioning for Specially Structured Linear Systems of Equations
Euro-Par '01 Proceedings of the 7th International Euro-Par Conference Manchester on Parallel Processing
New Parallel (Rank-Revealing) QR Factorization Algorithms
Euro-Par '02 Proceedings of the 8th International Euro-Par Conference on Parallel Processing
Object Oriented Design for Reusable Parallel Linear Algebra Software
Euro-Par '99 Proceedings of the 5th International Euro-Par Conference on Parallel Processing
On Combining Computational Differentiation and Toolkits for Parallel Scientific Computing
Euro-Par '00 Proceedings from the 6th International Euro-Par Conference on Parallel Processing
Non-standard Parallel Solution Strategies for Distributed Sparse Linear Systems
ParNum '99 Proceedings of the 4th International ACPC Conference Including Special Tracks on Parallel Numerics and Parallel Computing in Image Processing, Video Processing, and Multimedia: Parallel Computation
Parallel Finite Element Modeling of Solidification Processes
ParNum '99 Proceedings of the 4th International ACPC Conference Including Special Tracks on Parallel Numerics and Parallel Computing in Image Processing, Video Processing, and Multimedia: Parallel Computation
Performance Evaluation: Origins and Directions
Scalable CFD Computations Using Message-Passing and Distributed Shared Memory Algorithms
Proceedings of the 7th European PVM/MPI Users' Group Meeting on Recent Advances in Parallel Virtual Machine and Message Passing Interface
Understanding Klylov Methods in Finite Precision
NAA '00 Revised Papers from the Second International Conference on Numerical Analysis and Its Applications
A Generalized GMRES Iterative Method
NAA '00 Revised Papers from the Second International Conference on Numerical Analysis and Its Applications
The Korean Journal of Computational & Applied Mathematics
A new data-mapping scheme for latency-tolerant distributed sparse triangular solution
Proceedings of the 2002 ACM/IEEE conference on Supercomputing
Mathematics of Computation
Applied Numerical Mathematics
Preconditioners for saddle point problems arising in computational fluid dynamics
Applied Numerical Mathematics
Variations of the GMRES iterative method
Applied Numerical Mathematics
Evolutionary partial differential equations for biomedical image processing
Journal of Biomedical Informatics
Evolutionary partial differential equations for biomedical image processing
Computers and Biomedical Research
Space decomposition preconditioners and their application in geomechanics
Mathematics and Computers in Simulation - MODELLING 2001 - Second IMACS conference on mathematical modelling and computational methods in mechanics, physics, biomechanics and geodynamics
Mathematics and Computers in Simulation
Two numerical methods for an inverse problem for the 2-D Helmholtz equation
Journal of Computational Physics
Journal of Computational Physics
An Eulerian description of the streaming process in the lattice Boltzmann equation
Journal of Computational Physics
On balanced approximations for time integration of multiple time scale systems
Journal of Computational Physics
Journal of Computational Physics
A least square extrapolation method for improving solution accuracy of PDE computations
Journal of Computational Physics
The Journal of Supercomputing
An accelerated Gauss--Seidel method for inverse modeling
Signal Processing
Finite Elements in Analysis and Design - Special issue: 14th Robert J. Melosh competition
Global and localized parallel preconditioning techniques for large scale solid Earth simulations
Future Generation Computer Systems - Selected papers from CCGRID 2002
Parallel Computing - Special issue: Parallel computing in numerical optimization
The effect of orderings on sparse approximate inverse preconditioners for non-symmetric problems
Advances in Engineering Software - Engineering computational technology
A general numerical model for grounding analysis in layered soils
Advances in Engineering Software - Engineering computational technology
Journal of Computational and Applied Mathematics
Nonlocal formulations for softening materials
Computational structures technology
Multigrain Parallelism for Eigenvalue Computations on Networks of Clusters
HPDC '02 Proceedings of the 11th IEEE International Symposium on High Performance Distributed Computing
Finite Elements in Analysis and Design
Effectiveness of approximate inverse preconditioning by using the MR algorithm on an origin 2400
ICECT'03 Proceedings of the third international conference on Engineering computational technology
A new stabilization strategy for incomplete LU preconditioning of indefinite matrices
Applied Mathematics and Computation
Journal of Computational Physics
A 2D high-ß Hall MHD implicit nonlinear solver
Journal of Computational Physics
Fast uzawa algorithm for generalized saddle point problems
Applied Numerical Mathematics
Applied Numerical Mathematics
Journal of Computational and Applied Mathematics
A Level Set Approach for the Numerical Simulation of Dendritic Growth
Journal of Scientific Computing
An Eulerian Formulation for Solving Partial Differential Equations Along a Moving Interface
Journal of Scientific Computing
Mining and visualizing recommendation spaces for PDE solvers: the continuous attributes case
Computational science, mathematics and software
Sourcebook of parallel computing
Journal of Computational Physics
Applied Numerical Mathematics
Rational approximation preconditioners for sparse linear systems
Journal of Computational and Applied Mathematics
A moving grid finite element method applied to a model biological pattern generator
Journal of Computational Physics
Spectral/hp least-squares finite element formulation for the Navier-Stokes equations
Journal of Computational Physics
Krylov subspace methods for large-scale matrix problems in control
Future Generation Computer Systems - Selected papers on theoretical and computational aspects of structural dynamical systems in linear algebra and control
Optimization of a kinetic laser-plasma interaction code for large parallel systems
Parallel Computing - Parallel matrix algorithms and applications (PMAA '02)
Parallel, multigrain iterative solvers for hiding network latencies on MPPs and networks of clusters
Parallel Computing - Parallel matrix algorithms and applications (PMAA '02)
Semi-implicit finite volume scheme for image processing in 3D cylindrical geometry
Journal of Computational and Applied Mathematics
Domain decomposition algorithms for fourth-order nonlinear elliptic eigenvalue problems
Journal of Computational Physics
A ghost-cell immersed boundary method for flow in complex geometry
Journal of Computational Physics
A fully parallel block independent set algorithm for distributed sparse matrices
Parallel Computing - Special issue: Parallel and distributed scientific and engineering computing
Performance enhancement strategies for multi-block overset grid CFD applications
Parallel Computing - Special issue: Parallel and distributed scientific and engineering computing
SubCALM: A Program for Hierarchical Substrate Coupling Simulation on Floorplan Level
Proceedings of the conference on Design, automation and test in Europe - Volume 1
Automated, Accurate Macromodelling of Digital Aggressors for Power/Ground/Substrate Noise Prediction
Proceedings of the conference on Design, automation and test in Europe - Volume 2
Convergence Theorems for Some Layout Measures on Random Lattice and Random Geometric Graphs
Combinatorics, Probability and Computing
Multilevel block ILU preconditioner for sparse nonsymmetric M-matrices
Journal of Computational and Applied Mathematics - Special issue: Proceedings of the international conference on linear algebra and arithmetic, Rabat, Morocco, 28-31 May 2001
Jacobian-free Newton-Krylov methods: a survey of approaches and applications
Journal of Computational Physics
Performance Prediction for Parallel Iterative Solvers
The Journal of Supercomputing
Parallel 'Peer' two-step W-methods and their application to MOL-systems
Applied Numerical Mathematics - Special issue: Workshop on innovative time integrators for PDEs
A 2+1 dimensional insoluble surfactant model for a vertical draining free film
Journal of Computational and Applied Mathematics
A moving overset grid method for interface dynamics applied to non-Newtonian Hele-Shaw flow
Journal of Computational Physics
Using the parallel algebraic recursive multilevel solver in modern physical applications
Future Generation Computer Systems - Special issue: Selected numerical algorithms
Performance of ILU preconditioning techniques in simulating anisotropic diffusion in the human brain
Future Generation Computer Systems - Special issue: Advanced services for clusters and internet computing
Block triangular preconditioners for symmetric saddle-point problems
Applied Numerical Mathematics - Numerical algorithms, parallelism and applications
Polynomial filtering in latent semantic indexing for information retrieval
Proceedings of the 27th annual international ACM SIGIR conference on Research and development in information retrieval
Simulating water and smoke with an octree data structure
ACM SIGGRAPH 2004 Papers
Preconditioning techniques for the solution of the Helmholtz equation by the finite element method
Mathematics and Computers in Simulation - Special issue: Wave phenomena in physics and engineering: New models, algorithms, and appications
Issues in parallelizing multigrid-based substrate model extraction and analysis
SBCCI '04 Proceedings of the 17th symposium on Integrated circuits and system design
Journal of Computational Physics
An adaptive multiscale finite volume solver for unsteady and steady state flow computations
Journal of Computational Physics
A New Simulation Technique for Periodic Small-Signal Analysis
DATE '03 Proceedings of the conference on Design, Automation and Test in Europe - Volume 1
Coupling scalar and vector potentials on nonmatching grids for eddy currents in a moving conductor
Journal of Computational and Applied Mathematics - Special issue: Selected papers from the 2nd international conference on advanced computational methods in engineering (ACOMEN2002) Liege University, Belgium, 27-31 May 2002
An algebraic multilevel preconditioner for field-circuit coupled problems
Journal of Computational and Applied Mathematics - Special issue: Selected papers from the 2nd international conference on advanced computational methods in engineering (ACOMEN2002) Liege University, Belgium, 27-31 May 2002
On a class of preconditioners for solving the Helmholtz equation
Applied Numerical Mathematics
SCA '04 Proceedings of the 2004 ACM SIGGRAPH/Eurographics symposium on Computer animation
A Decentralized Convergence Detection Algorithm for Asynchronous Parallel Iterative Algorithms
IEEE Transactions on Parallel and Distributed Systems
Implicitly balanced solution of the two-phase flow equations coupled to nonlinear heat conduction
Journal of Computational Physics
Journal of Computational and Applied Mathematics
Convergence of parallel multisplitting methods using ILU factorizations
The Korean Journal of Computational & Applied Mathematics
BILUS: a block version of ILUS factorization
The Korean Journal of Computational & Applied Mathematics
Newton-Krylov continuation of periodic orbits for Navier-Stokes flows
Journal of Computational Physics
Configuration and Performance of a Beowulf Cluster for Large-Scale Scientific Simulations
Computing in Science and Engineering
Dynamic Load Balancing and Efficient Load Estimators for Asynchronous Iterative Algorithms
IEEE Transactions on Parallel and Distributed Systems
Proceedings of the conference on Design, Automation and Test in Europe - Volume 2
Parallel Multilevel Sparse Approximate Inverse Preconditioners in Large Sparse Matrix Computations
Proceedings of the 2003 ACM/IEEE conference on Supercomputing
Proceedings of the 2003 ACM/IEEE conference on Supercomputing
Prioritized Multiplicative Schwarz Procedures for Solving Linear Systems
IPDPS '05 Proceedings of the 19th IEEE International Parallel and Distributed Processing Symposium (IPDPS'05) - Papers - Volume 01
IPDPS '05 Proceedings of the 19th IEEE International Parallel and Distributed Processing Symposium (IPDPS'05) - Workshop 13 - Volume 14
Parallelization of Direct Algorithms using Multisplitting Methods in Grid Environments
IPDPS '05 Proceedings of the 19th IEEE International Parallel and Distributed Processing Symposium (IPDPS'05) - Workshop 13 - Volume 14
Three-dimensional anisotropic mesh adaptation for phase change problems
Journal of Computational Physics
Transpose-free multiple Lanczos and its application in Padé approximation
Journal of Computational and Applied Mathematics
An Inexact Newton Method Derived from Efficiency Analysis
Journal of Global Optimization
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Distributed block independent set algorithms and parallel multilevel ILU preconditioners
Journal of Parallel and Distributed Computing
Numerical simulation of anisotropic surface diffusion with curvature-dependent energy
Journal of Computational Physics
An implicit compact scheme solver with application to chemically reacting flows
Journal of Computational Physics
ACM SIGGRAPH 2005 Papers
Finding effective support-tree preconditioners
Proceedings of the seventeenth annual ACM symposium on Parallelism in algorithms and architectures
Partial differential equations
Encyclopedia of Computer Science
Journal of Scientific Computing
Metric tensors for anisotropic mesh generation
Journal of Computational Physics
The design of a distributed MATLAB-based environment for computing pseudospectra
Future Generation Computer Systems - Special section: Complex problem-solving environments for grid computing
Applied Numerical Mathematics - 6th IMACS International symposium on iterative methods in scientific computing
Iterative solvers for coupled fluid-solid scattering
Applied Numerical Mathematics - 6th IMACS International symposium on iterative methods in scientific computing
Restarted block-GMRES with deflation of eigenvalues
Applied Numerical Mathematics - 6th IMACS International symposium on iterative methods in scientific computing
Applied Numerical Mathematics - 6th IMACS International symposium on iterative methods in scientific computing
Algebraic analysis of high-pass quantization
ACM Transactions on Graphics (TOG)
Preconditioning for a Class of Spectral Differentiation Matrices
Journal of Scientific Computing
Jacobian---Free Newton---Krylov Methods for the Accurate Time Integration of Stiff Wave Systems
Journal of Scientific Computing
Enhanced illumination of reconstructed dynamic environments using a real-time flame model
AFRIGRAPH '06 Proceedings of the 4th international conference on Computer graphics, virtual reality, visualisation and interaction in Africa
Efficient harmonic balance simulation using multi-level frequency decomposition
Proceedings of the 2004 IEEE/ACM International conference on Computer-aided design
Robust analog/RF circuit design with projection-based posynomial modeling
Proceedings of the 2004 IEEE/ACM International conference on Computer-aided design
HPCASIA '05 Proceedings of the Eighth International Conference on High-Performance Computing in Asia-Pacific Region
High Performance Computing of Compressible Flows
HPCASIA '05 Proceedings of the Eighth International Conference on High-Performance Computing in Asia-Pacific Region
ASP-DAC '06 Proceedings of the 2006 Asia and South Pacific Design Automation Conference
Parallel and systolic solution of normalized explicit approximate inverse preconditioning
The Journal of Supercomputing - Special issue: Parallel and distributed processing and applications
A parallel hybrid web document clustering algorithm and its performance study
The Journal of Supercomputing - Special issue: Parallel and distributed processing and applications
Performance Comparison of Parallel Programming Environments for Implementing AIAC Algorithms
The Journal of Supercomputing
High accurate pattern based precondition method for extremely large power/ground grid analysis
Proceedings of the 2006 international symposium on Physical design
Pitfalls in fast numerical solvers for fractional differential equations
Journal of Computational and Applied Mathematics
Journal of Computational and Applied Mathematics
Fast-yet-accurate PVT simulation by combined direct and iterative methods
ICCAD '05 Proceedings of the 2005 IEEE/ACM International conference on Computer-aided design
ICCAD '05 Proceedings of the 2005 IEEE/ACM International conference on Computer-aided design
A hybrid linear equation solver and its application in quadratic placement
ICCAD '05 Proceedings of the 2005 IEEE/ACM International conference on Computer-aided design
Large power grid analysis using domain decomposition
Proceedings of the conference on Design, automation and test in Europe: Proceedings
Nonorthogonal decomposition of binary matrices for bounded-error data compression and analysis
ACM Transactions on Mathematical Software (TOMS)
Discrete surface modelling using partial differential equations
Computer Aided Geometric Design
Electrostatics and heat conduction in high contrast composite materials
Journal of Computational Physics
Some convergence estimates for algebraic multilevel preconditioners
Contemporary mathematics
Journal of Computational Physics
Journal of Computational Physics
Journal of Computational Physics
Applied Numerical Mathematics
Interactive local adjustment of tonal values
ACM SIGGRAPH 2006 Papers
Locally adapted hierarchical basis preconditioning
ACM SIGGRAPH 2006 Papers
Journal of Computational Physics
Journal of Computational Physics
A staggered grid, high-order accurate method for the incompressible Navier-Stokes equations
Journal of Computational Physics
Parallel iterative solvers for sparse linear systems in circuit simulation
Future Generation Computer Systems
Memory-efficient Kronecker algorithms with applications to the modelling of parallel systems
Future Generation Computer Systems - Systems performance analysis and evaluation
Iterative Methods for Linear Systems: Following the Meandering Way
Computing in Science and Engineering
A fast algorithm for modeling multiple bubbles dynamics
Journal of Computational Physics
The Gautschi time stepping scheme for edge finite element discretizations of the Maxwell equations
Journal of Computational Physics
Low rank approximate solutions to large Sylvester matrix equations
Applied Mathematics and Computation
An object-oriented framework for the development of scalable parallel multilevel preconditioners
ACM Transactions on Mathematical Software (TOMS)
Convergence properties of some block Krylov subspace methods for multiple linear systems
Journal of Computational and Applied Mathematics
Deployment of parallel direct sparse linear solvers within a parallel finite element code
PDCN'06 Proceedings of the 24th IASTED international conference on Parallel and distributed computing and networks
Robust treatment of collisions, contact and friction for cloth animation
SIGGRAPH '05 ACM SIGGRAPH 2005 Courses
Applied Numerical Mathematics - Applied scientific computing: Advances in grid generation, approximation and numerical modeling
Krylov-ROW methods for DAEs of index 1 with applications to viscoelasticity
Applied Numerical Mathematics - Tenth seminar on and differential-algebraic equations (NUMDIFF-10)
Journal of Computational Physics
Journal of Computational Physics
An application of multigrid methods for a discrete elastic model for epitaxial systems
Journal of Computational Physics
A choice of forcing terms in inexact Newton method
Journal of Computational and Applied Mathematics
An element-based displacement preconditioner for linear elasticity problems
Computers and Structures
Mathematical modelling and finite element simulation of smart tubular composites
Computers and Structures
A formal theory for estimating defeaturing-induced engineering analysis errors
Computer-Aided Design
Parallel image restoration using surrogate constraint methods
Journal of Parallel and Distributed Computing
Journal of Computational and Applied Mathematics
A globally convergent Newton-GMRES method for large sparse systems of nonlinear equations
Applied Numerical Mathematics
Efficient preconditioning for image reconstruction with radial basis functions
Advances in Engineering Software
A deterministic photon free method to solve radiation transfer equations
Journal of Computational Physics
An efficient deconvolution algorithm for estimating oxygen consumption during muscle activities
Computer Methods and Programs in Biomedicine
Wavelet-based SPAI pre-conditioner using local dropping
Mathematics and Computers in Simulation - Special issue: Applied and computational mathematics - selected papers of the fifth PanAmerican workshop - June 21-25, 2004, Tegucigalpa, Honduras
On computing of block ILU preconditioner for block tridiagonal systems
Journal of Computational and Applied Mathematics
Journal of Computational Physics
Journal of Computational and Applied Mathematics
Numerical performance of incomplete factorizations for 3D transient convection-diffusion problems
Advances in Engineering Software
Accurate power grid analysis with behavioral transistor network modeling
Proceedings of the 2007 international symposium on Physical design
A COCR method for solving complex symmetric linear systems
Journal of Computational and Applied Mathematics - Special issue: Scientific computing, computer arithmetic, and validated numerics (SCAN 2004)
A new scheme of computing the approximate inverse preconditioner for the reduced linear systems
Journal of Computational and Applied Mathematics - Special issue: Scientific computing, computer arithmetic, and validated numerics (SCAN 2004)
Journal of Computational and Applied Mathematics - Special issue: Applied computational inverse problems
Analysis of a conjugated infinite element method for acoustic scattering
Computers and Structures
An asymptotic preserving scheme for the two-fluid Euler-Poisson model in the quasineutral limit
Journal of Computational Physics
An accurate sparse matrix based framework for statistical static timing analysis
Proceedings of the 2006 IEEE/ACM international conference on Computer-aided design
Algorithm 866: IFISS, a Matlab toolbox for modelling incompressible flow
ACM Transactions on Mathematical Software (TOMS)
Using constraint preconditioners with regularized saddle-point problems
Computational Optimization and Applications
Computational Optimization and Applications
Inner solvers for interior point methods for large scale nonlinear programming
Computational Optimization and Applications
Choice of initial guess in iterative solution of series of systems arising in fluid flow simulations
Journal of Computational Physics
Recursive approach in sparse matrix LU factorization
Scientific Programming
A parallel multigrid-based preconditioner for the 3D heterogeneous high-frequency Helmholtz equation
Journal of Computational Physics
Positive stable block triangular preconditioners for symmetric saddle point problems
Applied Numerical Mathematics
G2 surface modeling using minimal mean-curvature-variation flow
Computer-Aided Design
Substrate model extraction using finite differences and parallel multigrid
Integration, the VLSI Journal
IEEE Transactions on Knowledge and Data Engineering
Asymmetric Hermitian and skew-Hermitian splitting methods for positive definite linear systems
Computers & Mathematics with Applications
Stretch-based tetrahedral mesh manipulation
GI '07 Proceedings of Graphics Interface 2007
Fast simulation of solid tumors thermal ablation treatments with a 3D reaction diffusion model
Computers in Biology and Medicine
An operation stacking framework for large ensemble computations
Proceedings of the 21st annual international conference on Supercomputing
Executing irregular scientific applications on stream architectures
Proceedings of the 21st annual international conference on Supercomputing
An interior-point affine-scaling trust-region method for semismooth equations with box constraints
Computational Optimization and Applications
AppWand: editing measured materials using appearance-driven optimization
ACM SIGGRAPH 2007 papers
Efficient gradient-domain compositing using quadtrees
ACM SIGGRAPH 2007 papers
Velocity-induced numerical solutions of reaction-diffusion systems on continuously growing domains
Journal of Computational Physics
The schur aggregation for solving linear systems of equations
Proceedings of the 2007 international workshop on Symbolic-numeric computation
Parameter finding methods for oscillators with a specified oscillation frequency
Proceedings of the 44th annual Design Automation Conference
Object oriented implementation of distributed finite element analysis in .NET
Advances in Engineering Software
Application of implicit-explicit high order Runge-Kutta methods to discontinuous-Galerkin schemes
Journal of Computational Physics
Journal of Computational Physics
Early power grid verification under circuit current uncertainties
ISLPED '07 Proceedings of the 2007 international symposium on Low power electronics and design
Phase-aware adaptive hardware selection for power-efficient scientific computations
ISLPED '07 Proceedings of the 2007 international symposium on Low power electronics and design
The Journal of Supercomputing
Some iterative methods for the solution of a symmetric indefinite KKT system
Computational Optimization and Applications
Computational Optimization and Applications
Discrete Orthogonal Decomposition and Variational Fluid Flow Estimation
Journal of Mathematical Imaging and Vision
An explicit formulation of the multiplicative Schwarz preconditioner
Applied Numerical Mathematics
Environmental Modelling & Software
An efficient algorithm for regularization of Laplace transform inversion in real case
Journal of Computational and Applied Mathematics
Comparison of Krylov subspace methods on the PageRank problem
Journal of Computational and Applied Mathematics
Adaptive solution of infinite linear systems by Krylov subspace methods
Journal of Computational and Applied Mathematics
Reduced order modelling based on POD method for 3D nonlinear aeroelasticity
MOAS'07 Proceedings of the 18th conference on Proceedings of the 18th IASTED International Conference: modelling and simulation
Filtering the eigenvalues at infinite from the linear stability analysis of incompressible flows
Journal of Computational Physics
Linear multifrequency-grey acceleration recast for preconditioned Krylov iterations
Journal of Computational Physics
Algebraic multigrid methods for elastic structures with highly discontinuous coefficients
Mathematics and Computers in Simulation
A kernel-free boundary integral method for elliptic boundary value problems
Journal of Computational Physics
A geometric approach for early power grid verification using current constraints
Proceedings of the 2007 IEEE/ACM international conference on Computer-aided design
Parallel domain decomposition for simulation of large-scale power grids
Proceedings of the 2007 IEEE/ACM international conference on Computer-aided design
A novel technique for incremental analysis of on-chip power distribution networks
Proceedings of the 2007 IEEE/ACM international conference on Computer-aided design
Parameterized model order reduction via a two-directional Arnoldi process
Proceedings of the 2007 IEEE/ACM international conference on Computer-aided design
On the design of interfaces to sparse direct solvers
ACM Transactions on Mathematical Software (TOMS)
Journal of Computational Physics
Journal of Computational and Applied Mathematics
Simulation of laser propagation in a plasma with a frequency wave equation
Journal of Computational Physics
A general framework for surface modeling using geometric partial differential equations
Computer Aided Geometric Design
An efficient transient Navier-Stokes solver on compact nonuniform space grids
Journal of Computational and Applied Mathematics
Journal of Computational and Applied Mathematics
Scientific computing Kernels on the cell processor
International Journal of Parallel Programming
On solving complex-symmetric eigenvalue problems arising in the design of axisymmetric VCSEL devices
Applied Numerical Mathematics
Use of near-breakdowns in the block Arnoldi method for solving large Sylvester equations
Applied Numerical Mathematics
Numerical prediction of interfacial instabilities: Sharp interface method (SIM)
Journal of Computational Physics
Object-oriented programming of distributed iterative equation solvers
Computers and Structures
An iterative semi-implicit scheme with robust damping
Journal of Computational Physics
Journal of Computational Physics
Journal of Computational Physics
A unified framework for adaptive filter algorithms with variable step-size
Computers and Electrical Engineering
Journal of Computational and Applied Mathematics
Journal of Computational and Applied Mathematics
International Journal of Computing Science and Mathematics
Edge-preserving decompositions for multi-scale tone and detail manipulation
ACM SIGGRAPH 2008 papers
On the numerical solution of diffusion-reaction equations with singular source terms
Journal of Computational and Applied Mathematics
Multilevel iterative solvers for the edge finite element solution of the 3D Maxwell equation
Computers & Mathematics with Applications
Time-harmonic elasticity with controllability and higher-order discretization methods
Journal of Computational Physics
A non-hybrid method for the PDF equations of turbulent flows on unstructured grids
Journal of Computational Physics
Optimizing sparse matrix-vector multiplication using index and value compression
Proceedings of the 5th conference on Computing frontiers
Efficient nonlinear solvers for Laplace-Beltrami smoothing of three-dimensional unstructured grids
Computers & Mathematics with Applications
Updating the QR decomposition of block tridiagonal and block Hessenberg matrices
Applied Numerical Mathematics
Numerical solution of two asset jump diffusion models for option valuation
Applied Numerical Mathematics
Modified explicit decoupled group method in the solution of 2-D elliptic PDES
MATH'07 Proceedings of the 12th WSEAS International Conference on Applied Mathematics
Journal of Parallel and Distributed Computing
GREMLINS: a large sparse linear solver for grid environment
Parallel Computing
ACM Transactions on Mathematical Software (TOMS)
Proceedings of the twentieth annual symposium on Parallelism in algorithms and architectures
Preconditioning techniques for the iterative solution of scattering problems
Journal of Computational and Applied Mathematics
New breakdown-free variant of AINV method for nonsymmetric positive definite matrices
Journal of Computational and Applied Mathematics
State-of-the-art eigensolvers for electronic structure calculations of large scale nano-systems
Journal of Computational Physics
Piecewise-polynomial discretization and Krylov-accelerated multigrid for elliptic interface problems
Journal of Computational Physics
New convergence results on the global GMRES method for diagonalizable matrices
Journal of Computational and Applied Mathematics
Preconditioned temporal difference learning
Proceedings of the 25th international conference on Machine learning
Bayesian Inference and Optimal Design for the Sparse Linear Model
The Journal of Machine Learning Research
Cross-Validation Optimization for Large Scale Structured Classification Kernel Methods
The Journal of Machine Learning Research
Image and video matting: a survey
Foundations and Trends® in Computer Graphics and Vision
ACM Transactions on Mathematical Software (TOMS)
International Journal of Computer Mathematics - Fast Iterative and Preconditioning Methods for Linear and Non-Linear Systems
Algebraic approach to absorbing boundary conditions for the Helmholtz equation
International Journal of Computer Mathematics - Distributed Algorithms in Science and Engineering
Preconditioners for the conjugate gradient algorithm using Gram-Schmidt and least squares methods
International Journal of Computer Mathematics
International Journal of Computer Mathematics - Celebrating the Life of David J. Evans
An HLLC scheme for Ten-Moments approximation coupled with magnetic field
International Journal of Computing Science and Mathematics
On a new iterative method for solving linear systems and comparison results
Journal of Computational and Applied Mathematics
An efficient p-version multigrid solver for fast hierarchical vector finite element analysis
Finite Elements in Analysis and Design
Proceedings of the 7th international joint conference on Autonomous agents and multiagent systems - Volume 1
Condensed matrix method for implicit type scheme in imaginary distance beam propagation method
Journal of Computational Methods in Sciences and Engineering
A penalty finite volume method for the transient Navier--Stokes equations
Applied Numerical Mathematics
Preconditioner updates applied to CFD model problems
Applied Numerical Mathematics
Variable Relaxation Solve for Nonlinear Thermal Conduction
ICCS '07 Proceedings of the 7th international conference on Computational Science, Part I: ICCS 2007
Block-Based Approach to Solving Linear Systems
ICCS '07 Proceedings of the 7th international conference on Computational Science, Part I: ICCS 2007
ICCS '07 Proceedings of the 7th international conference on Computational Science, Part III: ICCS 2007
Stability of GPBiCG_AR Method Based on Minimization of Associate Residual
Computer Mathematics
Dirichlet degrees of freedom need not be eliminated
Applied Numerical Mathematics
Preconditioning indefinite systems in interior point methods for large scale linear optimisation
Optimization Methods & Software
Optimization Methods & Software - Mathematical programming in data mining and machine learning
International Journal of Computational Fluid Dynamics - Mesoscopic Methods And Their Applications To CFD
International Journal of Computer Mathematics - INNOVATIVE ALGORITHMS IN SCIENCE AND ENGINEERING
Deflated preconditioned conjugate gradient solvers for the Pressure-Poisson equation
Journal of Computational Physics
An iterative method for finite-element solutions of the nonlinear Poisson-Boltzmann equation
WSEAS Transactions on Computers
WSEAS Transactions on Mathematics
Resolution of large symmetric eigenproblems on a world-wide grid
International Journal of Grid and Utility Computing
ASYNC Loop Constructs for Relaxed Synchronization
Languages and Compilers for Parallel Computing
ACM Transactions on Mathematical Software (TOMS)
A damping preconditioner for time-harmonic wave equations in fluid and elastic material
Journal of Computational Physics
The *congruence class of the solutions of some matrix equations
Computers & Mathematics with Applications
International Journal of Computational Fluid Dynamics
High-order quadratures for the solution of scattering problems in two dimensions
Journal of Computational Physics
High Performance Computing for Computational Science - VECPAR 2008
Design, Tuning and Evaluation of Parallel Multilevel ILU Preconditioners
High Performance Computing for Computational Science - VECPAR 2008
Performance Modeling and Analysis of a Massively Parallel Direct - Part 2
International Journal of High Performance Computing Applications
Journal of Computational Physics
A two-directional Arnoldi process and its application to parametric model order reduction
Journal of Computational and Applied Mathematics
Numerical study on incomplete orthogonal factorization preconditioners
Journal of Computational and Applied Mathematics
Preconditioned Lanczos method for generalized Toeplitz eigenvalue problems
Journal of Computational and Applied Mathematics
An improved parallel hybrid bi-conjugate gradient method suitable for distributed parallel computing
Journal of Computational and Applied Mathematics
Parallel preconditioners for large scale partial difference equation systems
Journal of Computational and Applied Mathematics
Arnoldi-Tikhonov regularization methods
Journal of Computational and Applied Mathematics
An extension of the conjugate residual method to nonsymmetric linear systems
Journal of Computational and Applied Mathematics
Journal of Computational and Applied Mathematics
On the penalty-projection method for the Navier-Stokes equations with the MAC mesh
Journal of Computational and Applied Mathematics
Parallel transistor level circuit simulation using domain decomposition methods
Proceedings of the 2009 Asia and South Pacific Design Automation Conference
Quadratic programming formulations for classificationand regression
Optimization Methods & Software - THE JOINT EUROPT-OMS CONFERENCE ON OPTIMIZATION, 4-7 JULY, 2007, PRAGUE, CZECH REPUBLIC, PART II
On the linear convergence of Newton-Krylov methods
Optimization Methods & Software - THE JOINT EUROPT-OMS CONFERENCE ON OPTIMIZATION, 4-7 JULY, 2007, PRAGUE, CZECH REPUBLIC, PART II
Mathematics and Computers in Simulation
International Journal of Computer Mathematics
Projected equation methods for approximate solution of large linear systems
Journal of Computational and Applied Mathematics
A Jacobian-free Newton-Krylov algorithm for compressible turbulent fluid flows
Journal of Computational Physics
Preconditioners generated by minimizing norms
Computers & Mathematics with Applications
A New Linear Group-Wise Parallel Interference Cancellation Detector
Wireless Personal Communications: An International Journal
A model-based block-triangular preconditioner for the Bidomain system in electrocardiology
Journal of Computational Physics
Journal of Computational Physics
Applied Numerical Mathematics
Applied Numerical Mathematics
Mathematics and Computers in Simulation
A new method for computing Moore-Penrose inverse matrices
Journal of Computational and Applied Mathematics
Mixed finite element methods for geometric modeling using general fourth order geometric flows
Computer Aided Geometric Design
Preconditioning methods for discontinuous Galerkin solutions of the Navier-Stokes equations
Journal of Computational Physics
Semi-automatic parallelization of direct and inverse problems for geothermal simulation
Proceedings of the 2009 ACM symposium on Applied Computing
Adaptive finite element methods for nonlinear inverse problems
Proceedings of the 2009 ACM symposium on Applied Computing
Journal of Scientific Computing
On Single Precision Preconditioners for Krylov Subspace Iterative Methods
Large-Scale Scientific Computing
Error estimation of a quadratic finite volume method on right quadrangular prism grids
Journal of Computational and Applied Mathematics
A comparison of projective and direct solvers for finite elements in elastostatics
Advances in Engineering Software
GPC '09 Proceedings of the 4th International Conference on Advances in Grid and Pervasive Computing
Efficient preconditioning for the discontinuous Galerkin finite element method by low-order elements
Applied Numerical Mathematics
Computational and conditioning issues of a discrete model for cochlear sensorineural hypoacusia
Applied Numerical Mathematics
Journal of Scientific Computing
A simple strategy for varying the restart parameter in GMRES(m)
Journal of Computational and Applied Mathematics
An extended GS method for dense linear systems
Journal of Computational and Applied Mathematics
Two-level compact implicit schemes for three-dimensional parabolic problems
Computers & Mathematics with Applications
Uniformly Convergent Iterative Methods for Discontinuous Galerkin Discretizations
Journal of Scientific Computing
Complex flow simulations in natural aquifer
Advanced Engineering Informatics
WSEAS Transactions on Mathematics
Generating Empirically Optimized Composed Matrix Kernels from MATLAB Prototypes
ICCS '09 Proceedings of the 9th International Conference on Computational Science: Part I
Towards Low-Cost, High-Accuracy Classifiers for Linear Solver Selection
ICCS '09 Proceedings of the 9th International Conference on Computational Science: Part I
Solving Sparse Linear Systems on NVIDIA Tesla GPUs
ICCS '09 Proceedings of the 9th International Conference on Computational Science: Part I
Journal of Computational Physics
Hyperbolic Numerics for Variational Approaches to Correspondence Problems
SSVM '09 Proceedings of the Second International Conference on Scale Space and Variational Methods in Computer Vision
Lanczos-type variants of the COCR method for complex nonsymmetric linear systems
Journal of Computational Physics
Journal of Computational Physics
A massively parallel fractional step solver for incompressible flows
Journal of Computational Physics
Learning Representation and Control in Markov Decision Processes: New Frontiers
Foundations and Trends® in Machine Learning
Smooth initialization of lattice Boltzmann schemes
Computers & Mathematics with Applications
Proceedings of the twenty-first annual symposium on Parallelism in algorithms and architectures
Stabilized approximate inverse preconditioners for indefinite matrices
Proceedings of the 46th Annual Southeast Regional Conference on XX
The relationship between the features of sparse matrix and the matrix solving status
Proceedings of the 46th Annual Southeast Regional Conference on XX
Journal of Computational Physics
Order reduction of bilinear MIMO dynamical systems using new block Krylov subspaces
Computers & Mathematics with Applications
A two-phase preconditioning strategy of sparse approximate inverse for indefinite matrices
Computers & Mathematics with Applications
A modified version of Jacobi approach
International Journal of Innovative Computing and Applications
Algebraic multigrid preconditioners for the bidomain reaction--diffusion system
Applied Numerical Mathematics
Efficient Parallelization of the Preconditioned Conjugate Gradient Method
PaCT '09 Proceedings of the 10th International Conference on Parallel Computing Technologies
Journal of Computational Physics
Coupling Biot and Navier-Stokes equations for modelling fluid-poroelastic media interaction
Journal of Computational Physics
New Regularized Algorithms for Transductive Learning
ECML PKDD '09 Proceedings of the European Conference on Machine Learning and Knowledge Discovery in Databases: Part II
Removing image artifacts due to dirty camera lenses and thin occluders
ACM SIGGRAPH Asia 2009 papers
A new algorithm for a recursive construction of the minimal interpolation space
Mathematics and Computers in Simulation
Monte Carlo sampling methods for approximating interactive POMDPs
Journal of Artificial Intelligence Research
An analysis of Laplacian methods for value function approximation in MDPs
IJCAI'07 Proceedings of the 20th international joint conference on Artifical intelligence
FANDB'09 Proceedings of the 2nd WSEAS international conference on Finite differences, finite elements, finite volumes, boundary elements
GPU friendly fast Poisson solver for structured power grid network analysis
Proceedings of the 46th Annual Design Automation Conference
Fast vectorless power grid verification using an approximate inverse technique
Proceedings of the 46th Annual Design Automation Conference
A robust and efficient harmonic balance (HB) using direct solution of HB Jacobian
Proceedings of the 46th Annual Design Automation Conference
Journal of Computational Physics
Stokes-Darcy boundary integral solutions using preconditioners
Journal of Computational Physics
Inferring semantic concepts from community-contributed images and noisy tags
MM '09 Proceedings of the 17th ACM international conference on Multimedia
EEG/MEG source imaging: methods, challenges, and open issues
Computational Intelligence and Neuroscience - Neuromath: advanced methods for the estimation of human brain activity and connectivity
ACM Transactions on Graphics (TOG)
Applied Numerical Mathematics - 6th IMACS International symposium on iterative methods in scientific computing
Iterative solvers for coupled fluid--solid scattering
Applied Numerical Mathematics - 6th IMACS International symposium on iterative methods in scientific computing
Restarted block-GMRES with deflation of eigenvalues
Applied Numerical Mathematics - 6th IMACS International symposium on iterative methods in scientific computing
Applied Numerical Mathematics - 6th IMACS International symposium on iterative methods in scientific computing
Applied Numerical Mathematics
Journal of Scientific Computing
Low cost high performance uncertainty quantification
Proceedings of the 2nd Workshop on High Performance Computational Finance
Graph partitioning and disturbed diffusion
Parallel Computing
Finite element form of FDV for widely varying flowfields
Journal of Computational Physics
Discrete surface modelling using partial differential equations
Computer Aided Geometric Design
G1 surface modelling using fourth order geometric flows
Computer-Aided Design
Polynomial filtering for fast convergence in distributed consensus
IEEE Transactions on Signal Processing
Optimal estimation of deterioration from diagnostic image sequence
IEEE Transactions on Signal Processing
Consistent reduced-rank LMMSE estimation with a limited number of samples per observation dimension
IEEE Transactions on Signal Processing
Generalized consistent estimation on low-rank Krylov subspaces of arbitrarily high dimension
IEEE Transactions on Signal Processing
On the MSE-duality of the broadcast channel and the multiple access channel
IEEE Transactions on Signal Processing
Implementing sparse matrix-vector multiplication on throughput-oriented processors
Proceedings of the Conference on High Performance Computing Networking, Storage and Analysis
PFunc: modern task parallelism for modern high performance computing
Proceedings of the Conference on High Performance Computing Networking, Storage and Analysis
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
Journal of Computational Physics
Parallel SSOR preconditioning implemented on dynamic SMP clusters with communication on the fly
Future Generation Computer Systems
Performance evaluation of the sparse matrix-vector multiplication on modern architectures
The Journal of Supercomputing
Fast multipole method applied to elastostatic BEM-FEM coupling
Computers and Structures
New implementation of QMR-type algorithms
Computers and Structures
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
On projected Newton-Krylov solvers for instationary laminar reacting gas flows
Journal of Computational Physics
A modified uniformization method for the solution of the chemical master equation
Computers & Mathematics with Applications
A parallel preconditioning strategy for efficient transistor-level circuit simulation
Proceedings of the 2009 International Conference on Computer-Aided Design
Taming irregular EDA applications on GPUs
Proceedings of the 2009 International Conference on Computer-Aided Design
Coupling multi-level component interfaces for parallel sparse linear system solvers
Proceedings of the 2009 Workshop on Component-Based High Performance Computing
Spectral properties of primal-based penalty preconditioners for saddle point problems
Journal of Computational and Applied Mathematics
Poisson inverse gradient approach to vascular myocyte detection and segmentation
ISBI'09 Proceedings of the Sixth IEEE international conference on Symposium on Biomedical Imaging: From Nano to Macro
Traversable path identification in unstructured terrains: a Markov random walk approach
ICRA'09 Proceedings of the 2009 IEEE international conference on Robotics and Automation
The design of a distributed MATLAB-based environment for computing pseudospectra
Future Generation Computer Systems - Special section: Complex problem-solving environments for grid computing
Parallel iterative solvers for sparse linear systems in circuit simulation
Future Generation Computer Systems
An adaptive inverse iteration for Maxwell eigenvalue problem based on edge elements
Journal of Computational Physics
Transpose-free multiple Lanczos and its application in Padé approximation
Journal of Computational and Applied Mathematics
Convergence of nonstationary multisplitting methods using ILU factorizations
Journal of Computational and Applied Mathematics
Journal of Computational and Applied Mathematics
Pitfalls in fast numerical solvers for fractional differential equations
Journal of Computational and Applied Mathematics
Journal of Computational and Applied Mathematics
Journal of Computational and Applied Mathematics
A Spectral Element Approximation to Price European Options with One Asset and Stochastic Volatility
Journal of Scientific Computing
Incremental large-scale electrostatic analysis
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
Robust reduced-rank adaptive algorithm based on parallel subgradient projection and Krylov subspace
IEEE Transactions on Signal Processing
Analysis of Patch Substructuring Methods
International Journal of Applied Mathematics and Computer Science - Scientific Computation for Fluid Mechanics and Hyperbolic Systems
Haptic rendering of deformable objects using a multiple FPGA parallel computing architecture
Proceedings of the 18th annual ACM/SIGDA international symposium on Field programmable gate arrays
A fast and robust mixed-precision solver for the solution of sparse symmetric linear systems
ACM Transactions on Mathematical Software (TOMS)
IEEE Transactions on Image Processing
Journal of Computational Physics
Fully anisotropic goal-oriented mesh adaptation for 3D steady Euler equations
Journal of Computational Physics
KADATH: A spectral solver for theoretical physics
Journal of Computational Physics
Journal of Computational Physics
Journal of Computational Physics
Journal of Computational Physics
Applied Numerical Mathematics
Further results on iterative methods for computing generalized inverses
Journal of Computational and Applied Mathematics
A 17th-order Radau IIA method for package RADAU. Applications in mechanical systems
Computers & Mathematics with Applications
Cascadic multilevel methods for fast nonsymmetric blur- and noise-removal
Applied Numerical Mathematics
Preconditioning Helmholtz linear systems
Applied Numerical Mathematics
Simulation of chemical reaction fronts in anaerobic digestion of solid waste
ICCSA'03 Proceedings of the 2003 international conference on Computational science and its applications: PartI
VECPAR'06 Proceedings of the 7th international conference on High performance computing for computational science
VECPAR'06 Proceedings of the 7th international conference on High performance computing for computational science
VECPAR'06 Proceedings of the 7th international conference on High performance computing for computational science
Evaluation of linear solvers for astrophysics transfer problems
VECPAR'06 Proceedings of the 7th international conference on High performance computing for computational science
Preconditionning techniques for the solution of the Helmholtz equation by the finite element method
ICCSA'03 Proceedings of the 2003 international conference on Computational science and its applications: PartII
Solving coupled consolidation equations
NMA'06 Proceedings of the 6th international conference on Numerical methods and applications
Toward memory-efficient linear solvers
VECPAR'02 Proceedings of the 5th international conference on High performance computing for computational science
Preconditioning for an iterative elliptic solver on a vector processor
VECPAR'02 Proceedings of the 5th international conference on High performance computing for computational science
VECPAR'02 Proceedings of the 5th international conference on High performance computing for computational science
BiCR variants of the hybrid BiCG methods for solving linear systems with nonsymmetric matrices
Journal of Computational and Applied Mathematics
Performance modelling and Markov chains
SFM'07 Proceedings of the 7th international conference on Formal methods for performance evaluation
Multi-scale simulations of plasma with iPIC3D
Mathematics and Computers in Simulation
Operation Stacking for Ensemble Computations With Variable Convergence
International Journal of High Performance Computing Applications
International Journal of High Performance Computing Applications
PARA'06 Proceedings of the 8th international conference on Applied parallel computing: state of the art in scientific computing
Partitioning and blocking issues for a parallel incomplete factorization
PARA'06 Proceedings of the 8th international conference on Applied parallel computing: state of the art in scientific computing
An as-rigid-as-possible approach to sensor network localization
ACM Transactions on Sensor Networks (TOSN)
Arnoldi versus GMRES for computing pageRank: A theoretical contribution to google's pageRank problem
ACM Transactions on Information Systems (TOIS)
New evaluation index of incomplete Cholesky preconditioning effect
ISHPC'05/ALPS'06 Proceedings of the 6th international symposium on high-performance computing and 1st international conference on Advanced low power systems
Boundary-element parallel-computing algorithm for the microstructural analysis of general composites
Computers and Structures
Journal of Computational Physics
GMP'08 Proceedings of the 5th international conference on Advances in geometric modeling and processing
Three: dimensional bursting simulation on two parallel systems
ICCSA'07 Proceedings of the 2007 international conference on Computational science and its applications - Volume Part III
Journal of Computational and Applied Mathematics
ICCSA'07 Proceedings of the 2007 international conference on Computational science and its applications - Volume Part I
Fast eigenvalue calculations in a massively parallel plasma turbulence code
Parallel Computing
Analysis of a mixed semi-implicit/implicit algorithm for low-frequency two-fluid plasma modeling
Journal of Computational Physics
An iterative linearised solution to the sinusoidal parameter estimation problem
Computers and Electrical Engineering
Comparison study of performance of parallel steady state solver on different computer architectures
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
Modeling and analysis of PC-based software routers
Computer Communications
ACM Transactions on Mathematical Software (TOMS)
On high-order denoising models and fast algorithms for vector-valued images
IEEE Transactions on Image Processing
Journal of Computational Physics
An alternating preconditioner for saddle point problems
Journal of Computational and Applied Mathematics
Row scaling as a preconditioner for some nonsymmetric linear systems with discontinuous coefficients
Journal of Computational and Applied Mathematics
Journal of Computational and Applied Mathematics
An Arnoldi-Extrapolation algorithm for computing PageRank
Journal of Computational and Applied Mathematics
A framework for optimizing thermoelectric active cooling systems
Proceedings of the 47th Design Automation Conference
New choices of preconditioning matrices for generalized inexact parameterized iterative methods
Journal of Computational and Applied Mathematics
The spectral properties of the preconditioned matrix for nonsymmetric saddle point problems
Journal of Computational and Applied Mathematics
Journal of Computational Physics
Short note: A volume of fluid approach for crystal growth simulation
Journal of Computational Physics
Journal of Computational Physics
Journal of Computational Physics
Towards a scalable fully-implicit fully-coupled resistive MHD formulation with stabilized FE methods
Journal of Computational Physics
A comparison of high-order time integrators for thermal convection in rotating spherical shells
Journal of Computational Physics
Updating preconditioners for nonlinear deblurring and denoising image restoration
Applied Numerical Mathematics
A new prewhitening-based adaptive filter which converges to the Wiener-solution
Asilomar'09 Proceedings of the 43rd Asilomar conference on Signals, systems and computers
Journal of Computational Physics
Journal of Computational Physics
A second order self-consistent IMEX method for radiation hydrodynamics
Journal of Computational Physics
A comparative study of iterative solutions to linear systems arising in quantum mechanics
Journal of Computational Physics
A cell functional minimization scheme for parabolic problem
Journal of Computational Physics
Optical computing for fast light transport analysis
ACM SIGGRAPH Asia 2010 papers
Equation-based interpolation and incremental unknowns for solving the Helmholtz equation
Applied Numerical Mathematics
Extended Arnoldi methods for large low-rank Sylvester matrix equations
Applied Numerical Mathematics
Variational optic flow on the Sony PlayStation 3
Journal of Real-Time Image Processing
Parallel transistor level full-chip circuit simulation
Proceedings of the Conference on Design, Automation and Test in Europe
Direct multi-grid methods for linear systems with harmonic aliasing patterns
IEEE Transactions on Signal Processing
Finite Elements in Analysis and Design
Exploiting compression opportunities to improve SpMxV performance on shared memory systems
ACM Transactions on Architecture and Code Optimization (TACO)
Algebraic and numerical algorithms
Algorithms and theory of computation handbook
PPAM'09 Proceedings of the 8th international conference on Parallel processing and applied mathematics: Part I
Simulations of the electrical activity in the heart with graphic processing units
PPAM'09 Proceedings of the 8th international conference on Parallel processing and applied mathematics: Part I
A fast GPU implementation for solving sparse ill-posed linear equation systems
PPAM'09 Proceedings of the 8th international conference on Parallel processing and applied mathematics: Part I
Bundle adjustment in the large
ECCV'10 Proceedings of the 11th European conference on Computer vision: Part II
Sparse non-linear least squares optimization for geometric vision
ECCV'10 Proceedings of the 11th European conference on Computer vision: Part II
Regularization of B-spline objects
Computer Aided Geometric Design
Low complexity equalization for doubly selective channels modeled by a basis expansion
IEEE Transactions on Signal Processing
AMG for linear systems in engine flow simulations
PPAM'09 Proceedings of the 8th international conference on Parallel processing and applied mathematics: Part II
Finite element computations on cluster of PC's and workstations
EURO-PDP'00 Proceedings of the 8th Euromicro conference on Parallel and distributed processing
Applied Numerical Mathematics
Simulation of incompressible flow with alternate pressure Dirichlet and Neumann conditions
Mathematics and Computers in Simulation
IPDPS'06 Proceedings of the 20th international conference on Parallel and distributed processing
Parallel algorithms for inductance extraction of VLSI circuits
IPDPS'06 Proceedings of the 20th international conference on Parallel and distributed processing
Algorithm engineering: bridging the gap between algorithm theory and practice
Algorithm engineering: bridging the gap between algorithm theory and practice
Shift-Invert Arnoldi's Method with Preconditioned Iterative Solves
SIAM Journal on Matrix Analysis and Applications
Image annotation by kNN-sparse graph-based label propagation over noisily tagged web images
ACM Transactions on Intelligent Systems and Technology (TIST)
An Optimal Iterative Solver for Symmetric Indefinite Systems Stemming from Mixed Approximation
ACM Transactions on Mathematical Software (TOMS)
A Supernodal Approach to Incomplete LU Factorization with Partial Pivoting
ACM Transactions on Mathematical Software (TOMS)
Efficient maximum entropy reconstruction of nuclear magnetic resonance T1-T2 spectra
IEEE Transactions on Signal Processing
Split Bregman method for large scale fused Lasso
Computational Statistics & Data Analysis
On numerical solution of integral equations for three-dimensional diffraction problems
MTPP'10 Proceedings of the Second Russia-Taiwan conference on Methods and tools of parallel programming multicomputers
Generalization of deformable registration in riemannian sobolev spaces
MICCAI'10 Proceedings of the 13th international conference on Medical image computing and computer-assisted intervention: Part II
An iterative multiscale finite volume algorithm converging to the exact solution
Journal of Computational Physics
High-performance modeling acoustic and elastic waves using the parallel Dichotomy Algorithm
Journal of Computational Physics
Two sides tangential filtering decomposition
Journal of Computational and Applied Mathematics
Updating incomplete factorization preconditioners for shifted linear systems arising in a wind model
Journal of Computational and Applied Mathematics
Evaluation of the performance of inexact GMRES
Journal of Computational and Applied Mathematics
MATH'10 Proceedings of the 15th WSEAS international conference on Applied mathematics
Implementation and performance analysis of parallel conjugate gradient on the cell broadband engine
IBM Journal of Research and Development
CSX: an extended compression format for spmv on shared memory systems
Proceedings of the 16th ACM symposium on Principles and practice of parallel programming
Fast numerical solution of nonlinear nonlocal cochlear models
Journal of Computational Physics
Milestones in the development of iterative solution methods
Journal on Image and Video Processing - Special issue on iterative signal processing in communications
Fast analysis of a large-scale inductive interconnect by block-structure-preserved macromodeling
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Variational capacitance extraction and modeling based on orthogonal polynomial method
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
New beam-steering algorithms using DELSA for frequency hopping systems
MILCOM'03 Proceedings of the 2003 IEEE conference on Military communications - Volume II
Approximation of the inductionless MHD problem using a stabilized finite element method
Journal of Computational Physics
Journal of Computational Physics
From Linear to Nonlinear Large Scale Systems
SIAM Journal on Matrix Analysis and Applications
Krylov Subspace Methods for Linear Systems with Tensor Product Structure
SIAM Journal on Matrix Analysis and Applications
SIAM Journal on Matrix Analysis and Applications
On the Similarities Between the Quasi-Newton Inverse Least Squares Method and GMRes
SIAM Journal on Numerical Analysis
Further Analysis of the Arnoldi Process for Eigenvalue Problems
SIAM Journal on Numerical Analysis
An Analysis of Equivalent Operator Preconditioning for Equation-Free Newton-Krylov Methods
SIAM Journal on Numerical Analysis
From Functional Analysis to Iterative Methods
SIAM Review
SIAM Journal on Scientific Computing
Preconditioning Saddle-Point Systems with Applications in Optimization
SIAM Journal on Scientific Computing
Marker Redistancing/Level Set Method for High-Fidelity Implicit Interface Tracking
SIAM Journal on Scientific Computing
SIAM Journal on Scientific Computing
The Iterative Solver RISOLV with Application to the Exterior Helmholtz Problem
SIAM Journal on Scientific Computing
Adaptive Techniques for Improving the Performance of Incomplete Factorization Preconditioning
SIAM Journal on Scientific Computing
Algebraic Multigrid for Markov Chains
SIAM Journal on Scientific Computing
Shift-Invert Arnoldi Approximation to the Toeplitz Matrix Exponential
SIAM Journal on Scientific Computing
SIAM Journal on Scientific Computing
A Posteriori Error Estimates Including Algebraic Error and Stopping Criteria for Iterative Solvers
SIAM Journal on Scientific Computing
Flexible GMRES with Deflated Restarting
SIAM Journal on Scientific Computing
Interpreting IDR as a Petrov-Galerkin Method
SIAM Journal on Scientific Computing
Iterative Near-Field Preconditioner for the Multilevel Fast Multipole Algorithm
SIAM Journal on Scientific Computing
Two-Level Newton and Hybrid Schwarz Preconditioners for Fluid-Structure Interaction
SIAM Journal on Scientific Computing
SIAM Journal on Scientific Computing
Preconditioned Implicit Solvers for Nonlinear PDEs in Monument Conservation
SIAM Journal on Scientific Computing
An error correction solver for linear systems: evaluation of mixed precision implementations
VECPAR'10 Proceedings of the 9th international conference on High performance computing for computational science
Sensitive couture for interactive garment modeling and editing
ACM SIGGRAPH 2011 papers
A color to grayscale conversion considering local and global contrast
ACCV'10 Proceedings of the 10th Asian conference on Computer vision - Volume Part IV
Efficient simulation of nonuniform transmission lines using integrated congruence transform
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Journal of Signal Processing Systems
Journal of Mathematical Imaging and Vision
Parallel Two-Grid Semismooth Newton-Krylov-Schwarz Method for Nonlinear Complementarity Problems
Journal of Scientific Computing
A simple multigrid scheme for solving the Poisson equation with arbitrary domain boundaries
Journal of Computational Physics
Parallel direct Poisson solver for discretisations with one Fourier diagonalisable direction
Journal of Computational Physics
Numerical solution of electromagnetic scattering from a large partly covered cavity
Journal of Computational and Applied Mathematics
A fast algorithm for simulating vesicle flows in three dimensions
Journal of Computational Physics
Long-time integration methods for mesoscopic models of pattern-forming systems
Journal of Computational Physics
Bounds on the difference between reconstructions in binary tomography
DGCI'11 Proceedings of the 16th IAPR international conference on Discrete geometry for computer imagery
Journal of Parallel and Distributed Computing
Parallel and scalable transient simulator for power grids via waveform relaxation (PTS-PWR)
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Floorplanning considering IR drop in multiple supply voltages island designs
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
A Relaxed Dimensional Factorization preconditioner for the incompressible Navier-Stokes equations
Journal of Computational Physics
GMRES with adaptively deflated restarting and its performance on an electromagnetic cavity problem
Applied Numerical Mathematics
Edge-based compression of cartoon-like images with homogeneous diffusion
Pattern Recognition
Proceedings of the 1st International Workshop on Adaptive Self-Tuning Computing Systems for the Exaflop Era
Fast iterative solvers for thin structures
Finite Elements in Analysis and Design
Mathematical foundation of the optimization-based fluid animation method
SCA '11 Proceedings of the 2011 ACM SIGGRAPH/Eurographics Symposium on Computer Animation
Development and performance analysis of a UPC Particle-in-Cell code
Proceedings of the Fourth Conference on Partitioned Global Address Space Programming Model
Benchmarking different direct solution methods for large power system simulation
Proceedings of the 2010 Conference on Grand Challenges in Modeling & Simulation
Reconstructing surfaces from sketched 3D irregular curve networks
Proceedings of the Eighth Eurographics Symposium on Sketch-Based Interfaces and Modeling
Multigrid and multilevel preconditioners for computational photography
Proceedings of the 2011 SIGGRAPH Asia Conference
Image simplification and vectorization
Proceedings of the ACM SIGGRAPH/Eurographics Symposium on Non-Photorealistic Animation and Rendering
MATLAB program for simulation and control of the continuous stirred tank reactor
CSS'11 Proceedings of the 5th WSEAS international conference on Circuits, systems and signals
A composite preconditioner for the electromagnetic scattering from a large cavity
Journal of Computational Physics
GPU implementation of a Helmholtz Krylov solver preconditioned by a shifted Laplace multigrid method
Journal of Computational and Applied Mathematics
On the GPGPU parallelization issues of finite element approximate inverse preconditioning
Journal of Computational and Applied Mathematics
Journal of Computational Physics
Journal of Computational Physics
Scalable multi-coloring preconditioning for multi-core CPUs and GPUs
Euro-Par 2010 Proceedings of the 2010 conference on Parallel processing
Intermediate flow field filtering in energy based optic flow computations
EMMCVPR'11 Proceedings of the 8th international conference on Energy minimization methods in computer vision and pattern recognition
A bit-compatible parallelization for ILU(k) preconditioning
Euro-Par'11 Proceedings of the 17th international conference on Parallel processing - Volume Part II
Error bounds on the reconstruction of binary images from low resolution scans
CAIP'11 Proceedings of the 14th international conference on Computer analysis of images and patterns - Volume Part I
Robust active contour segmentation with an efficient global optimizer
ACIVS'11 Proceedings of the 13th international conference on Advanced concepts for intelligent vision systems
Greville's method for preconditioning least squares problems
Advances in Computational Mathematics
Advances in Computational Mathematics
Journal of Computational Physics
An eigen-based high-order expansion basis for structured spectral elements
Journal of Computational Physics
Automatic performance optimization in ViennaCL for GPUs
Proceedings of the 9th Workshop on Parallel/High-Performance Object-Oriented Scientific Computing
A hierarchical fracture model for the iterative multiscale finite volume method
Journal of Computational Physics
A new class of exponential propagation iterative methods of Runge-Kutta type (EPIRK)
Journal of Computational Physics
Advances in Engineering Software
Estimating the effects of removing negative features on engineering analysis
Computer-Aided Design
Analyzing the execution of sparse matrix-vector product on the Finisterrae SMP-NUMA system
The Journal of Supercomputing
Exploiting coarse-grain speculative parallelism
Proceedings of the 2011 ACM international conference on Object oriented programming systems languages and applications
Towards accelerating irregular EDA applications with GPUs
Integration, the VLSI Journal
Journal of Computational Physics
Preconditioning the bidomain model with almost linear complexity
Journal of Computational Physics
The university of Florida sparse matrix collection
ACM Transactions on Mathematical Software (TOMS)
A variant of the IDR(s) method with the quasi-minimal residual strategy
Journal of Computational and Applied Mathematics
A robust multigrid approach for variational image registration models
Journal of Computational and Applied Mathematics
A scalable eigensolver for large scale-free graphs using 2D graph partitioning
Proceedings of 2011 International Conference for High Performance Computing, Networking, Storage and Analysis
Triangular and skew-symmetric splitting method for numerical solutions of Markov chains
Computers & Mathematics with Applications
Journal of Computational and Applied Mathematics
Parameterized preconditioning for generalized saddle point problems arising from the Stokes equation
Journal of Computational and Applied Mathematics
Krylov subspace methods for projected Lyapunov equations
Applied Numerical Mathematics
On the progressive iteration approximation property and alternative iterations
Computer Aided Geometric Design
A quasi-optimal non-overlapping domain decomposition algorithm for the Helmholtz equation
Journal of Computational Physics
Multigrid method for fractional diffusion equations
Journal of Computational Physics
Journal of Computational Physics
Stabilization and scalable block preconditioning for the Navier-Stokes equations
Journal of Computational Physics
Sparse triangular solves for ILU revisited: data layout crucial to better performance
International Journal of High Performance Computing Applications
Fast iterative solution of large sparse linear systems on geographically separated clusters
International Journal of High Performance Computing Applications
The Combinatorial BLAS: design, implementation, and applications
International Journal of High Performance Computing Applications
On the global Krylov subspace methods for solving general coupled matrix equations
Computers & Mathematics with Applications
Particle simulations of space weather
Journal of Computational Physics
Technical note: Progressive iteration approximation and the geometric algorithm
Computer-Aided Design
GMRES Methods for Least Squares Problems
SIAM Journal on Matrix Analysis and Applications
Eigenvalue Estimates for Preconditioned Nonsymmetric Saddle Point Matrices
SIAM Journal on Matrix Analysis and Applications
Acquired Clustering Properties and Solution of Certain Saddle Point Systems
SIAM Journal on Matrix Analysis and Applications
Riemannian Newton Method for the Multivariate Eigenvalue Problem
SIAM Journal on Matrix Analysis and Applications
Analysis and Computation of Compatible Least-Squares Methods for div-curl Equations
SIAM Journal on Numerical Analysis
On Matrix-Free Computation of 2D Unstable Manifolds
SIAM Journal on Scientific Computing
On the Numerical Analysis of Oblique Projectors
SIAM Journal on Matrix Analysis and Applications
SIAM Journal on Numerical Analysis
On Efficient Numerical Approximation of the Bilinear Form $c^*A^{-1}b$
SIAM Journal on Scientific Computing
Fast Structured AMG Preconditioning for the Bidomain Model in Electrocardiology
SIAM Journal on Scientific Computing
A Contour Integral Method for the Black-Scholes and Heston Equations
SIAM Journal on Scientific Computing
SIAM Journal on Numerical Analysis
Novel Numerical Methods for Solving the Time-Space Fractional Diffusion Equation in Two Dimensions
SIAM Journal on Scientific Computing
Anderson Acceleration for Fixed-Point Iterations
SIAM Journal on Numerical Analysis
Efficient Preconditioner Updates for Shifted Linear Systems
SIAM Journal on Scientific Computing
Improved Scaling for Quantum Monte Carlo on Insulators
SIAM Journal on Scientific Computing
SIAM Journal on Scientific Computing
Methods for Pricing American Options under Regime Switching
SIAM Journal on Scientific Computing
Nonsymmetric Preconditioner Updates in Newton-Krylov Methods for Nonlinear Systems
SIAM Journal on Scientific Computing
Estimates of the Norm of the Error in Solving Linear Systems with FOM and GMRES
SIAM Journal on Scientific Computing
SIAM Journal on Scientific Computing
Domain-Decomposition-Type Methods for Computing the Diagonal of a Matrix Inverse
SIAM Journal on Scientific Computing
The BiCOR and CORS Iterative Algorithms for Solving Nonsymmetric Linear Systems
SIAM Journal on Scientific Computing
SIAM Journal on Scientific Computing
Adaptive filters viewed as iterative linear equation solvers
NAA'04 Proceedings of the Third international conference on Numerical Analysis and its Applications
Parallel support graph preconditioners
HiPC'06 Proceedings of the 13th international conference on High Performance Computing
Multi-mass solvers for lattice QCD on GPUs
Journal of Computational Physics
A fast direct solver for elliptic problems on general meshes in 2D
Journal of Computational Physics
HPCC'06 Proceedings of the Second international conference on High Performance Computing and Communications
Parallel schwarz methods: algebraic construction of coarse problems, implementation and testing
PPAM'05 Proceedings of the 6th international conference on Parallel Processing and Applied Mathematics
Skeleton driven limb animation based on three-layered structure
AI'05 Proceedings of the 18th Australian Joint conference on Advances in Artificial Intelligence
FAST-EVP: an engine simulation tool
HPCC'05 Proceedings of the First international conference on High Performance Computing and Communications
GMRES implementations and residual smoothing techniques for solving ill-posed linear systems
Computers & Mathematics with Applications
Alternating Krylov subspace image restoration methods
Journal of Computational and Applied Mathematics
Journal of Computational Physics
Parallel solvers for flexible approximation schemes in multiparticle simulation
ICCS'06 Proceedings of the 6th international conference on Computational Science - Volume Part I
Characterizing the performance and energy attributes of scientific simulations
ICCS'06 Proceedings of the 6th international conference on Computational Science - Volume Part I
Adaptive constraint reduction for convex quadratic programming
Computational Optimization and Applications
The Journal of Supercomputing
Sparse systems solving on GPUs with GMRES
The Journal of Supercomputing
A new preconditioner for the interface system arising in a fast Helmholtz solver
Computers & Mathematics with Applications
Segmentation of 3d tubular structures by a PDE-Based anisotropic diffusion model
MMCS'08 Proceedings of the 7th international conference on Mathematical Methods for Curves and Surfaces
Sparse matrix and solver objects for parallel finite element simulation of multi-field problems
HPCA'09 Proceedings of the Second international conference on High Performance Computing and Applications
Domain decomposition of stochastic PDEs: a novel preconditioner and its parallel performance
HPCS'09 Proceedings of the 23rd international conference on High Performance Computing Systems and Applications
A SimPLR method for routability-driven placement
Proceedings of the International Conference on Computer-Aided Design
Structure preserving reduced-order modeling of linear periodic time-varying systems
Proceedings of the International Conference on Computer-Aided Design
On the preconditioner of conjugate gradient method: a power grid simulation perspective
Proceedings of the International Conference on Computer-Aided Design
3POr: parallel projection based parameterized order reduction for multi-dimensional linear models
Proceedings of the International Conference on Computer-Aided Design
SimPL: an effective placement algorithm
Proceedings of the International Conference on Computer-Aided Design
Fast Poisson solvers for thermal analysis
Proceedings of the International Conference on Computer-Aided Design
Early P/G grid voltage integrity verification
Proceedings of the International Conference on Computer-Aided Design
Proceedings of the International Conference on Computer-Aided Design
A coalgebraic perspective on linear weighted automata
Information and Computation
Euro-Par'06 Proceedings of the 12th international conference on Parallel Processing
VECPAR'04 Proceedings of the 6th international conference on High Performance Computing for Computational Science
Parallel generalized finite element method for magnetic multiparticle problems
VECPAR'04 Proceedings of the 6th international conference on High Performance Computing for Computational Science
Domain decomposition methods for PDE constrained optimization problems
VECPAR'04 Proceedings of the 6th international conference on High Performance Computing for Computational Science
VECPAR'04 Proceedings of the 6th international conference on High Performance Computing for Computational Science
VECPAR'04 Proceedings of the 6th international conference on High Performance Computing for Computational Science
Parallel solution of sparse linear systems arising in advection–diffusion problems
Euro-Par'05 Proceedings of the 11th international Euro-Par conference on Parallel Processing
Extending PSBLAS to build parallel schwarz preconditioners
PARA'04 Proceedings of the 7th international conference on Applied Parallel Computing: state of the Art in Scientific Computing
Applying parallel direct solver techniques to build robust high performance preconditioners
PARA'04 Proceedings of the 7th international conference on Applied Parallel Computing: state of the Art in Scientific Computing
Parallel hybrid sparse solvers through flexible incomplete cholesky preconditioning
PARA'04 Proceedings of the 7th international conference on Applied Parallel Computing: state of the Art in Scientific Computing
Adapting distributed scientific applications to run-time network conditions
PARA'04 Proceedings of the 7th international conference on Applied Parallel Computing: state of the Art in Scientific Computing
Performance evaluation of a parallel algorithm for a radiative transfer problem
PARA'04 Proceedings of the 7th international conference on Applied Parallel Computing: state of the Art in Scientific Computing
A novel, parallel PDE solver for unstructured grids
LSSC'05 Proceedings of the 5th international conference on Large-Scale Scientific Computing
Efficiency considerations in solving smoluchowski equations for rough potentials
CompLife'05 Proceedings of the First international conference on Computational Life Sciences
Parallel performance of hierarchical multipole algorithms for inductance extraction
HiPC'04 Proceedings of the 11th international conference on High Performance Computing
Journal of Computational Physics
Journal of Computational Physics
Vectorized sparse matrix multiply for compressed row storage format
ICCS'05 Proceedings of the 5th international conference on Computational Science - Volume Part I
Multi-pass mapping schemes for parallel sparse matrix computations
ICCS'05 Proceedings of the 5th international conference on Computational Science - Volume Part I
Efficient simulation of power/ground networks with package and vias
PATMOS'05 Proceedings of the 15th international conference on Integrated Circuit and System Design: power and Timing Modeling, Optimization and Simulation
Preconditioning non-monotone gradient methods for retrieval of seismic reflection signals
Advances in Computational Mathematics
High-performance sparse matrix-vector multiplication on GPUs for structured grid computations
Proceedings of the 5th Annual Workshop on General Purpose Processing with Graphics Processing Units
Resolution enhancement by vibrating displays
ACM Transactions on Graphics (TOG)
Minimal mean-curvature-variation surfaces and their applications in surface modeling
GMP'06 Proceedings of the 4th international conference on Geometric Modeling and Processing
Computational database technology applied to option pricing via finite differences
ADBIS'06 Proceedings of the 10th East European conference on Advances in Databases and Information Systems
Surface-based imaging methods for high-resolution functional magnetic resonance imaging
CompIMAGE'10 Proceedings of the Second international conference on Computational Modeling of Objects Represented in Images
A generalization of s-step variants of gradient methods
Journal of Computational and Applied Mathematics
A relaxation method for large eigenvalue problems, with an application to flow stability analysis
Journal of Computational Physics
Optimisation of the parallel performance of a 3d device simulator for HEMTs
ISPA'06 Proceedings of the 4th international conference on Parallel and Distributed Processing and Applications
A new approach: component-based multi-physics coupling through CCA-LISI
ICCSA'10 Proceedings of the 2010 international conference on Computational Science and Its Applications - Volume Part II
Construction of subdivision surfaces by fourth-order geometric flows with G1 boundary conditions
GMP'10 Proceedings of the 6th international conference on Advances in Geometric Modeling and Processing
Journal of Computational Physics
Performance evaluation of OpenMP-based algorithms for handling Kronecker descriptors
Journal of Parallel and Distributed Computing
Optimising spatial and tonal data for homogeneous diffusion inpainting
SSVM'11 Proceedings of the Third international conference on Scale Space and Variational Methods in Computer Vision
Interactive predicate suggestion for keyword search on RDF graphs
ADMA'11 Proceedings of the 7th international conference on Advanced Data Mining and Applications - Volume Part II
An incompressible log-domain demons algorithm for tracking heart tissue
STACOM'11 Proceedings of the Second international conference on Statistical Atlases and Computational Models of the Heart: imaging and modelling challenges
Parallelization of multilevel ILU preconditioners on distributed-memory multiprocessors
PARA'10 Proceedings of the 10th international conference on Applied Parallel and Scientific Computing - Volume Part I
PARA'10 Proceedings of the 10th international conference on Applied Parallel and Scientific Computing - Volume 2
Semi-random model tree ensembles: an effective and scalable regression method
AI'11 Proceedings of the 24th international conference on Advances in Artificial Intelligence
An approach for structural static reanalysis with unchanged number of degrees of freedom
Structural and Multidisciplinary Optimization
Numerical solution of diffusion models in biomedical imaging on multicore processors
Journal of Biomedical Imaging - Special issue on Parallel Computation in Medical Imaging Applications
Fast poisson solvers for thermal analysis
ACM Transactions on Design Automation of Electronic Systems (TODAES) - Special section on verification challenges in the concurrent world
Faster approximate multicommodity flow using quadratically coupled flows
STOC '12 Proceedings of the forty-fourth annual ACM symposium on Theory of computing
Journal of Computational Physics
On diagonally structured problems in unconstrained optimization using an inexact super Halley method
Journal of Computational and Applied Mathematics
Parallel preconditioned conjugate gradient algorithm on GPU
Journal of Computational and Applied Mathematics
Concurrency and Computation: Practice & Experience
Low-cost data uncertainty quantification
Concurrency and Computation: Practice & Experience
Cooperative Application/OS DRAM fault recovery
Euro-Par'11 Proceedings of the 2011 international conference on Parallel Processing - Volume 2
Iterative solutions of mildly nonlinear systems
Journal of Computational and Applied Mathematics
Boundary value methods for transient solutions of queueing networks with variant vacation policy
Journal of Computational and Applied Mathematics
FEM multigrid techniques for fluid-structure interaction with application to hemodynamics
Applied Numerical Mathematics
On the generation of Krylov subspace bases
Applied Numerical Mathematics
Substructure preconditioners for a class of structured linear systems of equations
Mathematical and Computer Modelling: An International Journal
A new algorithm for computing eigenpairs of matrices
Mathematical and Computer Modelling: An International Journal
clSpMV: A Cross-Platform OpenCL SpMV Framework on GPUs
Proceedings of the 26th ACM international conference on Supercomputing
Preconditioning for Allen-Cahn variational inequalities with non-local constraints
Journal of Computational Physics
On convergence of the inexact Rayleigh quotient iteration with MINRES
Journal of Computational and Applied Mathematics
An Empirical Analysis of the Performance of Preconditioners for SPD Systems
ACM Transactions on Mathematical Software (TOMS)
A finite element/Fourier treatment of the Fokker-Planck equation
Journal of Computational Physics
A fast solver for the gyrokinetic field equation with adiabatic electrons
Journal of Computational Physics
Quantitative control of idealized analysis models of thin designs
Computers and Structures
On iterative techniques for computing flow in large two-dimensional discrete fracture networks
Journal of Computational and Applied Mathematics
Proceedings of the 2012 Symposium on High Performance Computing
An automatic OpenCL compute kernel generator for basic linear algebra operations
Proceedings of the 2012 Symposium on High Performance Computing
Nonuniform memory affinity strategy in multithreaded sparse matrix computations
Proceedings of the 2012 Symposium on High Performance Computing
Sparse approximate inverse preconditioners for iterative solvers on GPUs
Proceedings of the 2012 Symposium on High Performance Computing
A New Truncation Strategy for the Higher-Order Singular Value Decomposition
SIAM Journal on Scientific Computing
SIAM Journal on Scientific Computing
Fixed Points of Averages of Resolvents: Geometry and Algorithms
SIAM Journal on Optimization
Reactive Molecular Dynamics: Numerical Methods and Algorithmic Techniques
SIAM Journal on Scientific Computing
Time Implicit High-Order Discontinuous Galerkin Method with Reduced Evaluation Cost
SIAM Journal on Scientific Computing
Modification and Compensation Strategies for Threshold-based Incomplete Factorizations
SIAM Journal on Scientific Computing
Facing the Multicore-Challenge II
Analysis of Multigrid Preconditioning for Implicit PDE Solvers for Degenerate Parabolic Equations
SIAM Journal on Matrix Analysis and Applications
SIAM Journal on Matrix Analysis and Applications
Low-Rank Tensor Krylov Subspace Methods for Parametrized Linear Systems
SIAM Journal on Matrix Analysis and Applications
Preconditioning of linear systems arising in finite element discretizations of the brinkman equation
LSSC'11 Proceedings of the 8th international conference on Large-Scale Scientific Computing
A highly scalable matrix-free multigrid solver for μFE analysis based on a pointer-less octree
LSSC'11 Proceedings of the 8th international conference on Large-Scale Scientific Computing
Analysis of a fast fourier transform based method for modeling of heterogeneous materials
LSSC'11 Proceedings of the 8th international conference on Large-Scale Scientific Computing
SimFusion+: extending simfusion towards efficient estimation on large and dynamic networks
SIGIR '12 Proceedings of the 35th international ACM SIGIR conference on Research and development in information retrieval
An accurate sparse-matrix based framework for statistical static timing analysis
Integration, the VLSI Journal
Comparing CUDA, OpenCL and OpenGL implementations of the cardiac monodomain equations
PPAM'11 Proceedings of the 9th international conference on Parallel Processing and Applied Mathematics - Volume Part II
A parallel space-time finite difference solver for periodic solutions of the shallow-water equation
PPAM'11 Proceedings of the 9th international conference on Parallel Processing and Applied Mathematics - Volume Part II
A parallel 3d unstructured implicit RANS solver for compressible and incompressible CFD simulations
PPAM'11 Proceedings of the 9th international conference on Parallel Processing and Applied Mathematics - Volume Part II
A central reconstruction based strategy for selecting projection angles in binary tomography
ICIAR'12 Proceedings of the 9th international conference on Image Analysis and Recognition - Volume Part I
On the efficiency of estimating penetrating rank on large graphs
SSDBM'12 Proceedings of the 24th international conference on Scientific and Statistical Database Management
Original Article: Simpler GMRES with deflated restarting
Mathematics and Computers in Simulation
Stroll: a universal filesystem-based interface for seamless task deployment in grid computing
DAIS'12 Proceedings of the 12th IFIP WG 6.1 international conference on Distributed Applications and Interoperable Systems
GPU-Accelerated non-negative matrix factorization for text mining
NLDB'12 Proceedings of the 17th international conference on Applications of Natural Language Processing and Information Systems
Modified block preconditioners for the discretized time-harmonic Maxwell equations in mixed form
Journal of Computational and Applied Mathematics
Optimization of the solution of the parameter-dependent Sylvester equation and applications
Journal of Computational and Applied Mathematics
Robust and highly scalable parallel solution of the Helmholtz equation with large wave numbers
Journal of Computational and Applied Mathematics
Efficient evaluation of the direct and adjoint linearized dynamics from compressible flow solvers
Journal of Computational Physics
A complete pivoting strategy for the right-looking Robust Incomplete Factorization preconditioner
Computers & Mathematics with Applications
Discrete Applied Mathematics
Constraint preconditioners for solving singular saddle point problems
Journal of Computational and Applied Mathematics
PyDEC: Software and Algorithms for Discretization of Exterior Calculus
ACM Transactions on Mathematical Software (TOMS)
Blockwise conjugate gradient methods for image reconstruction in volumetric CT
Computer Methods and Programs in Biomedicine
Exploiting parallelism in physically-based simulations on multi-core processor architectures
EG PGV'07 Proceedings of the 7th Eurographics conference on Parallel Graphics and Visualization
Calderón preconditioning approaches for PMCHWT formulations for Maxwell's equations
International Journal of Numerical Modelling: Electronic Networks, Devices and Fields
Estimation of the click volume by large scale regression analysis
CSR'07 Proceedings of the Second international conference on Computer Science: theory and applications
PaCT'07 Proceedings of the 9th international conference on Parallel Computing Technologies
A coarse-grid projection method for accelerating incompressible flow computations
Journal of Computational Physics
Comparative performance of exponential, implicit, and explicit integrators for stiff systems of ODEs
Journal of Computational and Applied Mathematics
Computer Science - Research and Development
HPCC'07 Proceedings of the Third international conference on High Performance Computing and Communications
Leveraging task-parallelism in energy-efficient ILU preconditioners
ICT-GLOW'12 Proceedings of the Second international conference on ICT as Key Technology against Global Warming
Generalized subgraph preconditioners for large-scale bundle adjustment
Proceedings of the 15th international conference on Theoretical Foundations of Computer Vision: outdoor and large-scale real-world scene analysis
Multiphase flow of immiscible fluids on unstructured moving meshes
EUROSCA'12 Proceedings of the 11th ACM SIGGRAPH / Eurographics conference on Computer Animation
Multiphase flow of immiscible fluids on unstructured moving meshes
Proceedings of the ACM SIGGRAPH/Eurographics Symposium on Computer Animation
Further comparison of additive and multiplicative coarse grid correction
Applied Numerical Mathematics
Solving Hermitian positive definite systems using indefinite incomplete factorizations
Journal of Computational and Applied Mathematics
Efficient generation of large-scale pareto-optimal topologies
Structural and Multidisciplinary Optimization
Accelerating Linear System Solutions Using Randomization Techniques
ACM Transactions on Mathematical Software (TOMS)
Dissecting the FEAST algorithm for generalized eigenproblems
Journal of Computational and Applied Mathematics
Data Mining and Knowledge Discovery
Proceedings of the International Conference on Computer-Aided Design
Overview of vectorless/early power grid verification
Proceedings of the International Conference on Computer-Aided Design
Performance analysis of parallel Schwarz preconditioners in the LES of turbulent channel flows
Computers & Mathematics with Applications
A computational approach for the simulation of natural convection in electrochemical cells
Journal of Computational Physics
Journal of Scientific Computing
GPU-accelerated preconditioned iterative linear solvers
The Journal of Supercomputing
Combining analytic preconditioner and Fast Multipole Method for the 3-D Helmholtz equation
Journal of Computational Physics
On Hermitian and skew-Hermitian splitting iteration methods for the linear matrix equation AXB=C
Computers & Mathematics with Applications
Indefinite block triangular preconditioner for symmetric saddle point problems
Calcolo: a quarterly on numerical analysis and theory of computation
A parallel fast sweeping method for the Eikonal equation
Journal of Computational Physics
Proceedings of the 18th ACM SIGPLAN symposium on Principles and practice of parallel programming
Performance modeling and optimization of sparse matrix-vector multiplication on NVIDIA CUDA platform
The Journal of Supercomputing
A Multi Level Multi Domain Method for Particle In Cell plasma simulations
Journal of Computational Physics
Weighted block-asynchronous iteration on GPU-Accelerated systems
Euro-Par'12 Proceedings of the 18th international conference on Parallel processing workshops
A data-driven approach for executing the CG method on reconfigurable high-performance systems
ARCS'13 Proceedings of the 26th international conference on Architecture of Computing Systems
Meshfree Particle Methods in the Framework of Boundary Element Methods for the Helmholtz Equation
Journal of Scientific Computing
Multi-threaded nested filtering factorization preconditioner
PARA'12 Proceedings of the 11th international conference on Applied Parallel and Scientific Computing
Preconditioning for large scale micro finite element analyses of 3d poroelasticity
PARA'12 Proceedings of the 11th international conference on Applied Parallel and Scientific Computing
Parallel solvers for numerical upscaling
PARA'12 Proceedings of the 11th international conference on Applied Parallel and Scientific Computing
The BiConjugate gradient method on GPUs
The Journal of Supercomputing
Parallel framework for topology optimization using the method of moving asymptotes
Structural and Multidisciplinary Optimization
SimPL: an algorithm for placing VLSI circuits
Communications of the ACM
Efficient preconditioning of laplacian matrices for computer graphics
ACM Transactions on Graphics (TOG) - SIGGRAPH 2013 Conference Proceedings
Hybrid local search polynomial-expanded linear multiuser detector for DS/CDMA systems
International Journal of Wireless and Mobile Computing
A parallel implementation of the CMRH method for dense linear systems
Numerical Algorithms
A trust region method for solving semidefinite programs
Computational Optimization and Applications
Journal of Computational Physics
Explicit G2-constrained degree reduction of Bézier curves by quadratic optimization
Journal of Computational and Applied Mathematics
Accelerated GCRO-DR method for solving sequences of systems of linear equations
Journal of Computational and Applied Mathematics
A parallel and incremental extraction of variational capacitance with stochastic geometric moments
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
On numerical modeling performance of generalized preconditioned methods
Proceedings of the 6th Balkan Conference in Informatics
Journal of Computational Physics
Towards a recursive iterative preconditioner
Proceedings of the 51st ACM Southeast Conference
Calcolo: a quarterly on numerical analysis and theory of computation
Accelerating sparse matrix-vector multiplication on GPUs using bit-representation-optimized schemes
SC '13 Proceedings of the International Conference on High Performance Computing, Networking, Storage and Analysis
Bounds on the quality of reconstructed images in binary tomography
Discrete Applied Mathematics
Learning output kernels for multi-task problems
Neurocomputing
Nested splitting conjugate gradient method for matrix equation AXB=C and preconditioning
Computers & Mathematics with Applications
Numerical methods for linear complementarity problems in physics-based animation
ACM SIGGRAPH 2013 Courses
Video retargeting combining warping and summarizing optimization
The Visual Computer: International Journal of Computer Graphics
Journal of Scientific Computing
Iterative numerical methods for sampling from high dimensional Gaussian distributions
Statistics and Computing
Stress-constrained topology optimization: a topological level-set approach
Structural and Multidisciplinary Optimization
A family of Adams exponential integrators for fractional linear systems
Computers & Mathematics with Applications
A banded preconditioner for the two-sided, nonlinear space-fractional diffusion equation
Computers & Mathematics with Applications
Accelerated 3D multi-body seakeeping simulations using unstructured finite elements
Journal of Computational Physics
A kernel-free boundary integral method for implicitly defined surfaces
Journal of Computational Physics
Numerical analysis of rational processes beyond Markov chains
Performance Evaluation
Automatic parameter setting for Arnoldi-Tikhonov methods
Journal of Computational and Applied Mathematics
Evaluation of two formulations of the conjugate gradients method with transactional memory
Euro-Par'13 Proceedings of the 19th international conference on Parallel Processing
Domain robust preconditioning for a staggered grid discretization of the Stokes equations
Journal of Computational and Applied Mathematics
A block GCROT(m,k) method for linear systems with multiple right-hand sides
Journal of Computational and Applied Mathematics
A generalized product-type BiCOR method and its application in signal deconvolution
Computers & Mathematics with Applications
Architecting the finite element method pipeline for the GPU
Journal of Computational and Applied Mathematics
HiPCNA-PG '13 Proceedings of the 3rd International Workshop on High Performance Computing, Networking and Analytics for the Power Grid
A block-asynchronous relaxation method for graphics processing units
Journal of Parallel and Distributed Computing
Newton multigrid least-squares FEM for the V-V-P formulation of the Navier-Stokes equations
Journal of Computational Physics
Finite volume element approximation of an inhomogeneous Brusselator model with cross-diffusion
Journal of Computational Physics
Preconditioned iterative methods for fractional diffusion equation
Journal of Computational Physics
Multiple task learning using iteratively reweighted least square
IJCAI'13 Proceedings of the Twenty-Third international joint conference on Artificial Intelligence
Fast multi-scale detail decomposition via accelerated iterative shrinkage
SIGGRAPH Asia 2013 Technical Briefs
VBARMS: A variable block algebraic recursive multilevel solver for sparse linear systems
Journal of Computational and Applied Mathematics
Acceleration of inverse subspace iteration with Newton's method
Journal of Computational and Applied Mathematics
Preconditioning of complex symmetric linear systems with applications in optical tomography
Applied Numerical Mathematics
Numerical Algorithms
A Multiple-FPGA parallel computing architecture for real-time simulation of soft-object deformation
ACM Transactions on Embedded Computing Systems (TECS)
Estimation of Spectral Bounds in Gradient Algorithms
Acta Applicandae Mathematicae: an international survey journal on applying mathematics and mathematical applications
A note on superoptimal generalized circulant preconditioners
Applied Numerical Mathematics
POLAR: placement based on novel rough legalization and refinement
Proceedings of the International Conference on Computer-Aided Design
Parallel power grid analysis using preconditioned GMRES solver on CPU-GPU platforms
Proceedings of the International Conference on Computer-Aided Design
Journal of Scientific Computing
High accuracy solutions to energy gradient flows from material science models
Journal of Computational Physics
Journal of Computational Physics
Journal of Computational Physics
A doubly optimized solution of linear equations system expressed in an affine Krylov subspace
Journal of Computational and Applied Mathematics
Reaching the superlinear convergence phase of the CG method
Journal of Computational and Applied Mathematics
Speedup of water distribution simulation by domain decomposition
Environmental Modelling & Software
A fast nested dissection solver for Cartesian 3D elliptic problems using hierarchical matrices
Journal of Computational Physics
Journal of Parallel and Distributed Computing
Hierarchical Krylov and nested Krylov methods for extreme-scale computing
Parallel Computing
Performance analysis of asynchronous Jacobi's method implemented in MPI, SHMEM and OpenMP
International Journal of High Performance Computing Applications
Privacy-preserving and verifiable protocols for scientific computation outsourcing to the cloud
Journal of Parallel and Distributed Computing
VSP--a quantum-electronic simulation framework
Journal of Computational Electronics
Parallel multigrid algorithms based on generic approximate sparse inverses: an SMP approach
The Journal of Supercomputing
Journal of Computational Physics
Journal of Computational Physics
Flexible global generalized Hessenberg methods for linear systems with multiple right-hand sides
Journal of Computational and Applied Mathematics
Concurrent aerostructural topology optimization of a wing box
Computers and Structures
Developing Bi-CG and Bi-CR methods to solve generalized Sylvester-transpose matrix equations
International Journal of Automation and Computing
Advances in Computational Mathematics
Prescribing the behavior of early terminating GMRES and Arnoldi iterations
Numerical Algorithms
Parameter estimation in high dimensional Gaussian distributions
Statistics and Computing
Convergence analysis of the modified Newton-HSS method under the Hölder continuous condition
Journal of Computational and Applied Mathematics
A Parallel Domain Decomposition Method for 3D Unsteady Incompressible Flows at High Reynolds Number
Journal of Scientific Computing
Accelerating the Arnoldi-Type Algorithm for the PageRank Problem and the ProteinRank Problem
Journal of Scientific Computing
Approximate Discrete Reconstruction Algorithm
Fundamenta Informaticae - Strategies for Tomography
Parallel algebraic domain decomposition solver for the solution of augmented systems
Advances in Engineering Software
A BDDC algorithm for a class of staggered discontinuous Galerkin methods
Computers & Mathematics with Applications
Double sweep preconditioner for optimized Schwarz methods applied to the Helmholtz problem
Journal of Computational Physics
Hi-index | 0.90 |