Multilayered illiac network scheme
ACM SIGARCH Computer Architecture News
Hypernet: A communication-efficient architecture for constructing massively parallel computers
IEEE Transactions on Computers
Technologies for low latency interconnection switches
SPAA '89 Proceedings of the first annual ACM symposium on Parallel algorithms and architectures
Performance Analysis of k-ary n-cube Interconnection Networks
IEEE Transactions on Computers
On-line algorithms for path selection in a nonblocking network
STOC '90 Proceedings of the twenty-second annual ACM symposium on Theory of computing
Technologies for low latency interconnection switches
ACM SIGARCH Computer Architecture News - Symposium on parallel algorithms and architectures
Express Cubes: Improving the Performance of k-ary n-cube Interconnection Networks
IEEE Transactions on Computers
Methods for message routing in parallel machines
STOC '92 Proceedings of the twenty-fourth annual ACM symposium on Theory of computing
Layered cross product—a technique to construct interconnection networks
SPAA '92 Proceedings of the fourth annual ACM symposium on Parallel algorithms and architectures
Competitive fault-tolerance in area-universal networks
SPAA '92 Proceedings of the fourth annual ACM symposium on Parallel algorithms and architectures
The network architecture of the Connection Machine CM-5 (extended abstract)
SPAA '92 Proceedings of the fourth annual ACM symposium on Parallel algorithms and architectures
Design and performance of multipath MIN architectures
SPAA '92 Proceedings of the fourth annual ACM symposium on Parallel algorithms and architectures
Fast Algorithms for Routing Around Faults in Multibutterflies and Randomly-Wired Splitter Networks
IEEE Transactions on Computers - Special issue on fault-tolerant computing
Automatic array alignment in data-parallel programs
POPL '93 Proceedings of the 20th ACM SIGPLAN-SIGACT symposium on Principles of programming languages
The CM-5 Connection Machine: a scalable supercomputer
Communications of the ACM
New graph decompositions and fast emulations in hypercubes and butterflies
SPAA '93 Proceedings of the fifth annual ACM symposium on Parallel algorithms and architectures
T: integrated building blocks for parallel computing
Proceedings of the 1993 ACM/IEEE conference on Supercomputing
The Fat-Pyramid and Universal Parallel Computation Independent of Wire Delay
IEEE Transactions on Computers
METRO: a router architecture for high-performance, short-haul routing networks
ISCA '94 Proceedings of the 21st annual international symposium on Computer architecture
Scalable expanders: exploiting hierarchical random wiring
STOC '94 Proceedings of the twenty-sixth annual ACM symposium on Theory of computing
Optimal evaluation of array expressions on massively parallel machines
ACM Transactions on Programming Languages and Systems (TOPLAS)
The SP2 high-performance switch
IBM Systems Journal
Deterministic on-line routing on area-universal networks
Journal of the ACM (JACM)
IEEE Transactions on Parallel and Distributed Systems
Systematic Design of Fault-Tolerant Multiprocessors with Shared Buses
IEEE Transactions on Computers
Performance Evaluation of Switch-Based Wormhole Networks
IEEE Transactions on Parallel and Distributed Systems
FPGA '99 Proceedings of the 1999 ACM/SIGDA seventh international symposium on Field programmable gate arrays
A comparison of scalable superscalar processors
Proceedings of the eleventh annual ACM symposium on Parallel algorithms and architectures
Scalable distributed visualization using off-the-shelf components
PVGS '99 Proceedings of the 1999 IEEE symposium on Parallel visualization and graphics
A new switch chip for IBM RS/6000 SP systems
SC '99 Proceedings of the 1999 ACM/IEEE conference on Supercomputing
Generic Universal Switch Blocks
IEEE Transactions on Computers
Compact, multilayer layout for butterfly fat-tree
Proceedings of the twelfth annual ACM symposium on Parallel algorithms and architectures
A generic architecture for on-chip packet-switched interconnections
DATE '00 Proceedings of the conference on Design, automation and test in Europe
Performance Metrics for Embedded Parallel Pipelines
IEEE Transactions on Parallel and Distributed Systems
High-cost CFD on a low-cost cluster
Proceedings of the 2000 ACM/IEEE conference on Supercomputing
An Improved Generalization of Mesh-Connected Computers with Multiple Buses
IEEE Transactions on Parallel and Distributed Systems
Performance Modeling of Hierarchical Crossbar-Based Multicomputer Systems
IEEE Transactions on Computers
Recursive Diagonal Torus: An Interconnection Network for Massively Parallel Computers
IEEE Transactions on Parallel and Distributed Systems
Optimal software multicast in wormhole-routed multistage networks
Proceedings of the 1994 ACM/IEEE conference on Supercomputing
Multiphase Complete Exchange on Paragon, SP2, and CS-2
IEEE Parallel & Distributed Technology: Systems & Technology
Vertical Processing Systems: A Survey
IEEE Micro
Hierarchical Scalable Photonic Architectures for High-Performance Processor Interconnection
IEEE Transactions on Computers
A Parallel Algorithm for Reconfiguring a Multibutterfly Network with Faulty Switches
IEEE Transactions on Computers
Performance Considerations of Shared Virtual Memory Machines
IEEE Transactions on Parallel and Distributed Systems
Optimal Software Multicast in Wormhole-Routed Multistage Networks
IEEE Transactions on Parallel and Distributed Systems
A hierarchical three-way interconnect architecture for hexagonal processors
Proceedings of the 2003 international workshop on System-level interconnect prediction
Network Performance under Physical Constraints
ICPP '97 Proceedings of the international Conference on Parallel Processing
Implementing the Data Diffusion Machine Using Crossbar Routers
IPPS '96 Proceedings of the 10th International Parallel Processing Symposium
ServerNet Deadlock Avoidance and Fractahedral Topologies
IPPS '96 Proceedings of the 10th International Parallel Processing Symposium
Adaptive Source Routing in Multistage Interconnection Networks
IPPS '96 Proceedings of the 10th International Parallel Processing Symposium
k -ary n -trees: High Performance Networks for Massively Parallel Architectures
IPPS '97 Proceedings of the 11th International Symposium on Parallel Processing
Performance Evaluation of I/O Traffic and Placement of I/O Nodes on a High Performance Network
IPDPS '02 Proceedings of the 16th International Parallel and Distributed Processing Symposium
On the VLSI Area and Bisection Width of Star Graphs and Hierarchical Cubic Networks
IPDPS '01 Proceedings of the 15th International Parallel & Distributed Processing Symposium
Performance Evaluation of the Quadrics Interconnection Network
IPDPS '01 Proceedings of the 15th International Parallel & Distributed Processing Symposium
Parallel Processing of Multiple Text Queries on Hypercube Interconnection Networks
Euro-Par '99 Proceedings of the 5th International Euro-Par Conference on Parallel Processing
A polynomial-time tree decomposition to minimize congestion
Proceedings of the fifteenth annual ACM symposium on Parallel algorithms and architectures
The Ultrascalar Processor-An Asymptotically Scalable Superscalar Microarchitecture
ARVLSI '99 Proceedings of the 20th Anniversary Conference on Advanced Research in VLSI
Area-Universal Circuits with Constant Slowdown
ARVLSI '99 Proceedings of the 20th Anniversary Conference on Advanced Research in VLSI
RMB -- A Reconfigurable Multiple Bus Network
HPCA '96 Proceedings of the 2nd IEEE Symposium on High-Performance Computer Architecture
Sparse hypercube: a minimal k-line broadcast graph
Discrete Applied Mathematics
Multilayer VLSI Layout for Interconnection Networks
ICPP '00 Proceedings of the Proceedings of the 2000 International Conference on Parallel Processing
Defect tolerance for nanocomputer architecture
Proceedings of the 2004 international workshop on System level interconnect prediction
Proceedings of the conference on Design, automation and test in Europe - Volume 2
The hierarchical cliques interconnection network
Journal of Parallel and Distributed Computing
Reconfigurable platforms for ubiquitous computing
Proceedings of the 1st conference on Computing frontiers
Structured interconnect architecture: a solution for the non-scalability of bus-based SoCs
Proceedings of the 14th ACM Great Lakes symposium on VLSI
Micro-Network for SoC: Implementation of a 32-Port SPIN network
DATE '03 Proceedings of the conference on Design, Automation and Test in Europe - Volume 1
Unifying mesh- and tree-based programmable interconnect
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
A Technology-Aware and Energy-Oriented Topology Exploration for On-Chip Networks
Proceedings of the conference on Design, Automation and Test in Europe - Volume 2
Analysis and Performance Results of a Molecular Modeling Application on Merrimac
Proceedings of the 2004 ACM/IEEE conference on Supercomputing
Merrimac: Supercomputing with Streams
Proceedings of the 2003 ACM/IEEE conference on Supercomputing
Scalable NIC-based Reduction on Large-scale Clusters
Proceedings of the 2003 ACM/IEEE conference on Supercomputing
Wire length as a circuit complexity measure
Journal of Computer and System Sciences
Siamese-Twin: A Dynamically Fault-Tolerant Fat-Tree
IPDPS '05 Proceedings of the 19th IEEE International Parallel and Distributed Processing Symposium (IPDPS'05) - Papers - Volume 01
Performance Modeling of Subnet Management on Fat Tree InfiniBand Networks using OpenSM
IPDPS '05 Proceedings of the 19th IEEE International Parallel and Distributed Processing Symposium (IPDPS'05) - Workshop 18 - Volume 19
Optimizing All-to-All Collective Communication by Exploiting Concurrency in Modern Networks
SC '05 Proceedings of the 2005 ACM/IEEE conference on Supercomputing
A survey of research and practices of Network-on-chip
ACM Computing Surveys (CSUR)
The BlackWidow High-Radix Clos Network
Proceedings of the 33rd annual international symposium on Computer Architecture
The fat-stack and universal routing in interconnection networks
Journal of Parallel and Distributed Computing - Special issue: 18th International parallel and distributed processing symposium
Design tradeoffs for tiled CMP on-chip networks
Proceedings of the 20th annual international conference on Supercomputing
Adaptive routing in high-radix clos network
Proceedings of the 2006 ACM/IEEE conference on Supercomputing
Level-wise scheduling algorithm for fat tree interconnection networks
Proceedings of the 2006 ACM/IEEE conference on Supercomputing
A collision model for randomized routing in fat-tree networks
Journal of Parallel and Distributed Computing
On-Chip Communication Architectures: System on Chip Interconnect
On-Chip Communication Architectures: System on Chip Interconnect
Performances improvement of FPGA using novel multilevel hierarchical interconnection structure
Proceedings of the 2006 IEEE/ACM international conference on Computer-aided design
Hardware supported multicast in fat-tree-based InfiniBand networks
The Journal of Supercomputing
Advanced hardware/software co-design on reconfigurable network-on-chip based hyper-platforms
Computers and Electrical Engineering
FLUX interconnection networks on demand
Journal of Systems Architecture: the EUROMICRO Journal
Job scheduling and data replication on data grids
Future Generation Computer Systems
Flattened butterfly: a cost-efficient topology for high-radix networks
Proceedings of the 34th annual international symposium on Computer architecture
Oblivious routing for fat-tree based system area networks with uncertain traffic demands
Proceedings of the 2007 ACM SIGMETRICS international conference on Measurement and modeling of computer systems
Handling Topology Changes in InfiniBand
IEEE Transactions on Parallel and Distributed Systems
The mechanics of in-kernel synchronization for a scalable microkernel
ACM SIGOPS Operating Systems Review
Node-disjoint paths in hierarchical hypercube networks
Information Sciences: an International Journal
Formula dissection: A parallel algorithm for constraint satisfaction
Computers & Mathematics with Applications
NIC-based reduction algorithms for large-scale clusters
International Journal of High Performance Computing and Networking
Measurement and analysis of TCP throughput collapse in cluster-based storage systems
FAST'08 Proceedings of the 6th USENIX Conference on File and Storage Technologies
On application-level approaches to avoiding TCP throughput collapse in cluster-based storage systems
PDSW '07 Proceedings of the 2nd international workshop on Petascale data storage: held in conjunction with Supercomputing '07
Scientific Programming - High Performance Computing for Mission-Enabling Space Applications
Technology-Driven, Highly-Scalable Dragonfly Topology
ISCA '08 Proceedings of the 35th Annual International Symposium on Computer Architecture
NOCS '08 Proceedings of the Second ACM/IEEE International Symposium on Networks-on-Chip
A scalable, commodity data center network architecture
Proceedings of the ACM SIGCOMM 2008 conference on Data communication
Application-specific Processor Architecture: Then and Now
Journal of Signal Processing Systems
Area-time tradeoffs for universal VLSI circuits
Theoretical Computer Science
Improving communication-phase completion times in HPC clusters through congestion mitigation
SYSTOR '09 Proceedings of SYSTOR 2009: The Israeli Experimental Systems Conference
Polaris: a system-level roadmapping toolchain for on-chip interconnection networks
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Evolutionary optimization of multistage interconnection networks performance
Proceedings of the 11th Annual conference on Genetic and evolutionary computation
PortLand: a scalable fault-tolerant layer 2 data center network fabric
Proceedings of the ACM SIGCOMM 2009 conference on Data communication
BCube: a high performance, server-centric network architecture for modular data centers
Proceedings of the ACM SIGCOMM 2009 conference on Data communication
HyperX: topology, routing, and packaging of efficient large-scale networks
Proceedings of the Conference on High Performance Computing Networking, Storage and Analysis
Computer Networks: The International Journal of Computer and Telecommunications Networking
Oblivious routing in fat-tree based system area networks with uncertain traffic demands
IEEE/ACM Transactions on Networking (TON)
Productive petascale computing: requirements, hardware, and software
Productive petascale computing: requirements, hardware, and software
Mesh-of-trees and alternative interconnection networks for single-chip parallelism
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
An analysis of on-chip interconnection networks for large-scale chip multiprocessors
ACM Transactions on Architecture and Code Optimization (TACO)
Reducing complexity in tree-like computer interconnection networks
Parallel Computing
PSO-grid data replication service
VECPAR'06 Proceedings of the 7th international conference on High performance computing for computational science
Studying of multi-dimensional based replica management in object storage system
EUC'07 Proceedings of the 2007 conference on Emerging direction in embedded and ubiquitous computing
The SKB: a semi-completely-connected bus for on-chip systems
NPC'07 Proceedings of the 2007 IFIP international conference on Network and parallel computing
Maintaining quality of service with dynamic fault tolerance in fat-trees
HiPC'08 Proceedings of the 15th international conference on High performance computing
Energy proportional datacenter networks
Proceedings of the 37th annual international symposium on Computer architecture
Network-on-Chip Architectures for Neural Networks
NOCS '10 Proceedings of the 2010 Fourth ACM/IEEE International Symposium on Networks-on-Chip
Improving the scalability of data center networks with traffic-aware virtual machine placement
INFOCOM'10 Proceedings of the 29th conference on Information communications
Fiber optic communication technologies: what's needed for datacenter network operations
IEEE Communications Magazine
The reverse-acceleration model for programming petascale hybrid systems
IBM Journal of Research and Development
Algorithmic techniques for regular networks of processors
Algorithms and theory of computation handbook
Algorithms and theory of computation handbook
An efficient strategy for reducing head-of-line blocking in fat-trees
Euro-Par'10 Proceedings of the 16th international Euro-Par conference on Parallel processing: Part II
A lightweight media access protocol for a WDM-based distributed shared memory system
INFOCOM'96 Proceedings of the Fifteenth annual joint conference of the IEEE computer and communications societies conference on The conference on computer communications - Volume 3
LEGUP: using heterogeneity to reduce the cost of data center network upgrades
Proceedings of the 6th International COnference
A hardwired NoC infrastructure for embedded systems on FPGAs
Microprocessors & Microsystems
Scalable network-on-chip architecture for configurable neural networks
Microprocessors & Microsystems
A theory of decomposition into prime factors of layered interconnection networks
Discrete Applied Mathematics
A learning-based approach to the automated design of MPSoC networks
ARCS'11 Proceedings of the 24th international conference on Architecture of computing systems
Scheduling irregular parallel computations on hierarchical caches
Proceedings of the twenty-third annual ACM symposium on Parallelism in algorithms and architectures
Spatial hardware implementation for sparse graph algorithms in GraphStep
ACM Transactions on Autonomous and Adaptive Systems (TAAS)
OBQA: Smart and cost-efficient queue scheme for Head-of-Line blocking elimination in fat-trees
Journal of Parallel and Distributed Computing
ALIAS: scalable, decentralized label assignment for data centers
Proceedings of the 2nd ACM Symposium on Cloud Computing
Self-organizing systems based on morphogenesis principles
IBM Journal of Research and Development
sFtree: A fully connected and deadlock-free switch-to-switch routing algorithm for fat-trees
ACM Transactions on Architecture and Code Optimization (TACO) - HIPEAC Papers
Realization of video object plane decoder on on-chip network architecture
ICESS'05 Proceedings of the Second international conference on Embedded Software and Systems
Designing on-chip network based on optimal latency criteria
ICESS'05 Proceedings of the Second international conference on Embedded Software and Systems
Analyzing the performance of mesh and fat-tree topologies for network on chip design
EUC'05 Proceedings of the 2005 international conference on Embedded and Ubiquitous Computing
Design of an asynchronous switch based on butterfly fat-tree for network-on-chip applications
PCM'05 Proceedings of the 6th Pacific-Rim conference on Advances in Multimedia Information Processing - Volume Part II
Parceling the butterfly and the batcher sorting network
Theoretical Computer Science
On two-layer brain-inspired hierarchical topologies – a rent's rule approach –
Transactions on High-Performance Embedded Architectures and Compilers IV
SGL: towards a bridging model for heterogeneous hierarchical platforms
International Journal of High Performance Computing and Networking
A guided tour of data-center networking
Communications of the ACM
Applying traffic merging to datacenter networks
Proceedings of the 3rd International Conference on Future Energy Systems: Where Energy, Computing and Communication Meet
A Guided Tour through Data-center Networking
Queue - Networks
CloudRAMSort: fast and efficient large-scale distributed RAM sort on shared-nothing cluster
SIGMOD '12 Proceedings of the 2012 ACM SIGMOD International Conference on Management of Data
Formal specification of interconnection networks
FP'95 Proceedings of the 1995 international conference on Functional Programming
An Architecture for High Availability Multi-user Systems
Computer Communications
Fat-tree routing and node ordering providing contention free traffic for MPI global collectives
Journal of Parallel and Distributed Computing
Large-scale energy-efficient graph traversal: a path to efficient data-intensive supercomputing
SC '12 Proceedings of the International Conference on High Performance Computing, Networking, Storage and Analysis
Cray cascade: a scalable HPC system based on a Dragonfly network
SC '12 Proceedings of the International Conference on High Performance Computing, Networking, Storage and Analysis
Dynamic strategy of placement of the replicas in data grid
PaCT'07 Proceedings of the 9th international conference on Parallel Computing Technologies
An efficient fault-tolerant routing methodology for fat-tree interconnection networks
ISPA'07 Proceedings of the 5th international conference on Parallel and Distributed Processing and Applications
On the feasibility of completely wireless datacenters
Proceedings of the eighth ACM/IEEE symposium on Architectures for networking and communications systems
Heracles: a tool for fast RTL-based design space exploration of multicore processors
Proceedings of the ACM/SIGDA international symposium on Field programmable gate arrays
Data Center Switch for Load Balanced Fat-Trees
Journal of Signal Processing Systems
F10: a fault-tolerant engineered network
nsdi'13 Proceedings of the 10th USENIX conference on Networked Systems Design and Implementation
A source-synchronous Htree-based network-on-chip
Proceedings of the 23rd ACM international conference on Great lakes symposium on VLSI
Exploring topologies for source-synchronous ring-based network-on-chip
Proceedings of the Conference on Design, Automation and Test in Europe
Spectral sparsification of graphs: theory and algorithms
Communications of the ACM
A fast, source-synchronous ring-based network-on-chip design
DATE '12 Proceedings of the Conference on Design, Automation and Test in Europe
The Journal of Supercomputing
Aspen trees: balancing data center fault tolerance, scalability and cost
Proceedings of the ninth ACM conference on Emerging networking experiments and technologies
DARGOS: A highly adaptable and scalable monitoring architecture for multi-tenant Clouds
Future Generation Computer Systems
Proceedings of the 2014 ACM/SIGDA international symposium on Field-programmable gate arrays
Resource allocation with multi-factor node ranking in data center networks
Future Generation Computer Systems
A new proposal to deal with congestion in InfiniBand-based fat-trees
Journal of Parallel and Distributed Computing
Switch sizing for energy-efficient datacenter networks
ACM SIGMETRICS Performance Evaluation Review
On the feasibility of completely wirelesss datacenters
IEEE/ACM Transactions on Networking (TON)
On the topological properties of HyperX
The Journal of Supercomputing
60GHz wireless links in data center networks
Computer Networks: The International Journal of Computer and Telecommunications Networking
GPFS-SNC: an enterprise cluster file system for big data
IBM Journal of Research and Development
Hi-index | 15.06 |