On startups and teaching computer architecture
Journal of Computing Sciences in Colleges - Papers of the twelfth annual CCSC Northeastern Conference
Microprocessors in the era of terascale integration
Proceedings of the conference on Design, automation and test in Europe
The case for low-power photonic networks on chip
Proceedings of the 44th annual Design Automation Conference
Architecture for object-oriented programming languages
JTRES '07 Proceedings of the 5th international workshop on Java technologies for real-time and embedded systems
JTRES '07 Proceedings of the 5th international workshop on Java technologies for real-time and embedded systems
Improving SDRAM access energy efficiency for low-power embedded systems
ACM Transactions on Embedded Computing Systems (TECS)
Data access history cache and associated data prefetching mechanisms
Proceedings of the 2007 ACM/IEEE conference on Supercomputing
Optimization of sparse matrix-vector multiplication on emerging multicore platforms
Proceedings of the 2007 ACM/IEEE conference on Supercomputing
Compressor trees for decimal partial product reduction
Proceedings of the 18th ACM Great Lakes symposium on VLSI
Server-based data push architecture for multi-processor environments
Journal of Computer Science and Technology
Variable-sized object packing and its applications to instruction cache design
Computers and Electrical Engineering
An evolutionary design technique for collective communications on optimal diameter-degree networks
Proceedings of the 10th annual conference on Genetic and evolutionary computation
Word-interleaved cache: an energy efficient data cache architecture
Proceedings of the 13th international symposium on Low power electronics and design
A mass conserving boundary condition for the lattice Boltzmann equation method
Journal of Computational Physics
Reducing complexity of multiobjective design space exploration in VLIW-based embedded systems
ACM Transactions on Architecture and Code Optimization (TACO)
Functional self-testing for bus-based symmetric multiprocessors
Proceedings of the conference on Design, automation and test in Europe
High Performance Implementation of Binomial Option Pricing
ICCSA '08 Proceeding sof the international conference on Computational Science and Its Applications, Part I
ASIP-eFPGA Architecture for Multioperable GNSS Receivers
SAMOS '08 Proceedings of the 8th international workshop on Embedded Computer Systems: Architectures, Modeling, and Simulation
The Verification of the On-Chip COMA Cache Coherence Protocol
AMAST 2008 Proceedings of the 12th international conference on Algebraic Methodology and Software Technology
Evaluating Heterogeneous Memory Model by Realistic Trace-Driven Hardware/Software Co-simulation
Euro-Par '08 Proceedings of the 14th international Euro-Par conference on Parallel Processing
Time-predictable memory arbitration for a Java chip-multiprocessor
JTRES '08 Proceedings of the 6th international workshop on Java technologies for real-time and embedded systems
Address Generation Optimization for Embedded High-Performance Processors: A Survey
Journal of Signal Processing Systems
Hybrid layered video encoding and caching for resource constrained environments
Journal of Visual Communication and Image Representation
Automated architecture synthesis for parallel programs on FPGA multiprocessor systems
Microprocessors & Microsystems
Improving Search Engines Performance on Multithreading Processors
High Performance Computing for Computational Science - VECPAR 2008
An analysis and experimental approach to teaching data prefetching on CMP
SCE '08 Proceedings of the 1st ACM Summit on Computing Education in China on First ACM Summit on Computing Education in China
WorkOut: I/O workload outsourcing for boosting RAID reconstruction performance
FAST '09 Proccedings of the 7th conference on File and storage technologies
VIFI-CMP: variability-tolerant chip-multiprocessors for throughput and power
Proceedings of the 19th ACM Great Lakes symposium on VLSI
Hierarchical Adaptive State Space Caching Based on Level Sampling
TACAS '09 Proceedings of the 15th International Conference on Tools and Algorithms for the Construction and Analysis of Systems: Held as Part of the Joint European Conferences on Theory and Practice of Software, ETAPS 2009,
(When) Will CMPs Hit the Power Wall?
Euro-Par 2008 Workshops - Parallel Processing
MediaBench II video: Expediting the next generation of video systems research
Microprocessors & Microsystems
Tolerating process variations in large, set-associative caches: The buddy cache
ACM Transactions on Architecture and Code Optimization (TACO)
An Evaluation of Misaligned Data Access Handling Mechanisms in Dynamic Binary Translation Systems
Proceedings of the 7th annual IEEE/ACM International Symposium on Code Generation and Optimization
Time-predictable computer architecture
EURASIP Journal on Embedded Systems - FPGA supercomputing platforms, architectures, and techniques for accelerating computationally complex algorithms
A common database approach for OLTP and OLAP using an in-memory column database
Proceedings of the 2009 ACM SIGMOD International Conference on Management of data
FlashLogging: exploiting flash devices for synchronous logging performance
Proceedings of the 2009 ACM SIGMOD International Conference on Management of data
Advances in flash memory SSD technology for enterprise database applications
Proceedings of the 2009 ACM SIGMOD International Conference on Management of data
Electronic Structure Calculations and Adaptation Scheme in Multi-core Computing Environments
ICCS '09 Proceedings of the 9th International Conference on Computational Science: Part I
Evolutionary optimization of multistage interconnection networks performance
Proceedings of the 11th Annual conference on Genetic and evolutionary computation
Bounds on the Geometric Mean of Arc Lengths for Bounded-Degree Planar Graphs
FAW '09 Proceedings of the 3d International Workshop on Frontiers in Algorithmics
Exploring Reconfigurable Architectures for Tree-Based Option Pricing Models
ACM Transactions on Reconfigurable Technology and Systems (TRETS)
Dynamic protocol timing adaptation for improved efficiency in IEEE 802.11 wireless LANs
Proceedings of the 2009 International Conference on Wireless Communications and Mobile Computing: Connecting the World Wirelessly
NZTM: nonblocking zero-indirection transactional memory
Proceedings of the twenty-first annual symposium on Parallelism in algorithms and architectures
Way-tagged cache: an energy-efficient L2 cache architecture under write-through policy
Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design
CTC: An end-to-end flow control protocol for multi-core systems-on-chip
NOCS '09 Proceedings of the 2009 3rd ACM/IEEE International Symposium on Networks-on-Chip
Control-flow integrity principles, implementations, and applications
ACM Transactions on Information and System Security (TISSEC)
The Impact of Resource Sharing Control on the Design of Multicore Processors
ICA3PP '09 Proceedings of the 9th International Conference on Algorithms and Architectures for Parallel Processing
Higher reliability redundant disk arrays: Organization, operation, and coding
ACM Transactions on Storage (TOS)
An effective synchronization approach for fast and accurate multi-core instruction-set simulation
EMSOFT '09 Proceedings of the seventh ACM international conference on Embedded software
Implementing time-predictable load and store operations
EMSOFT '09 Proceedings of the seventh ACM international conference on Embedded software
ODR: output-deterministic replay for multicore debugging
Proceedings of the ACM SIGOPS 22nd symposium on Operating systems principles
Floating-point division and square root using a Taylor-series expansion algorithm
Microelectronics Journal
Comparing cache architectures and coherency protocols on x86-64 multicore SMP systems
Proceedings of the 42nd Annual IEEE/ACM International Symposium on Microarchitecture
Proceedings of the 42nd Annual IEEE/ACM International Symposium on Microarchitecture
Cross-profiling for Java processors
Software—Practice & Experience
Reevaluating Amdahl's law in the multicore era
Journal of Parallel and Distributed Computing
Towards a Statistical Model of a Microprocessor's Throughput by Analyzing Pipeline Stalls
SEUS '09 Proceedings of the 7th IFIP WG 10.2 International Workshop on Software Technologies for Embedded and Ubiquitous Systems
Overview of Multicore Requirements towards Real-Time Communication
SEUS '09 Proceedings of the 7th IFIP WG 10.2 International Workshop on Software Technologies for Embedded and Ubiquitous Systems
On reducing load/store latencies of cache accesses
Journal of Systems Architecture: the EUROMICRO Journal
Proceedings of the Third Workshop on Virtual Machines and Intermediate Languages
Hardware-based synchronization support for shared accesses in multicore architectures
ACST '08 Proceedings of the Fourth IASTED International Conference on Advances in Computer Science and Technology
An efficient intersection algorithm design of ray tracing for many-core graphics processors
CGIM '08 Proceedings of the Tenth IASTED International Conference on Computer Graphics and Imaging
Cache line reservation: exploring a scheme for cache-friendly object allocation
CASCON '09 Proceedings of the 2009 Conference of the Center for Advanced Studies on Collaborative Research
Reconfigurable custom floating-point instructions (abstract only)
Proceedings of the 18th annual ACM/SIGDA international symposium on Field programmable gate arrays
Specifying and dynamically verifying address translation-aware memory consistency
Proceedings of the fifteenth edition of ASPLOS on Architectural support for programming languages and operating systems
Saturating counter design for meta predictor in hybrid branch prediction
CSECS'09 Proceedings of the 8th WSEAS International Conference on Circuits, systems, electronics, control & signal processing
International Journal of Reconfigurable Computing
Proceedings of the International Conference and Workshop on Emerging Trends in Technology
Low power analysis of DLX processor datapath using a novel clocking scheme
Proceedings of the International Conference and Workshop on Emerging Trends in Technology
Serializing C intermediate representations for efficient and portable parsing
Software—Practice & Experience
Performance evaluation of speculation-based protocol for read-only transactions
Proceedings of the Third Annual ACM Bangalore Conference
Operation Stacking for Ensemble Computations With Variable Convergence
International Journal of High Performance Computing Applications
Membrane computing in connex environment
WMC'07 Proceedings of the 8th international conference on Membrane computing
Proceedings of the 20th symposium on Great lakes symposium on VLSI
Efficient cache design for solid-state drives
Proceedings of the 7th ACM international conference on Computing frontiers
First experiences with intel cluster OpenMP
IWOMP'08 Proceedings of the 4th international conference on OpenMP in a new era of parallelism
State-of-the-art in heterogeneous computing
Scientific Programming
Cache-oblivious ray reordering
ACM Transactions on Graphics (TOG)
A graph theoretic approach to cache-conscious placement of data for direct mapped caches
Proceedings of the 2010 international symposium on Memory management
Three-dimensional feature-preserving noise reduction for real-time electron tomography
Digital Signal Processing
Hardware-based synchronization framework for heterogeneous RISC/coprocessor architectures
SAMOS'09 Proceedings of the 9th international conference on Systems, architectures, modeling and simulation
A real-time Java chip-multiprocessor
ACM Transactions on Embedded Computing Systems (TECS)
Rethinking DRAM design and organization for energy-constrained multi-cores
Proceedings of the 37th annual international symposium on Computer architecture
Functional test generation using efficient property clustering and learning techniques
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
Leveraging partially faulty links usage for enhancing yield and performance in networks-on-chip
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
A reconfigurable source-synchronous on-chip network for GALS many-core platforms
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems - Special issue on the 2009 ACM/IEEE international symposium on networks-on-chip
Analysis and evaluation of V*-kNN: an efficient algorithm for moving kNN queries
The VLDB Journal — The International Journal on Very Large Data Bases
Runtime Reconfiguration of Multiprocessors Based on Compile-Time Analysis
ACM Transactions on Reconfigurable Technology and Systems (TRETS)
An Adaptive Data Prefetcher for High-Performance Processors
CCGRID '10 Proceedings of the 2010 10th IEEE/ACM International Conference on Cluster, Cloud and Grid Computing
Workload and network-optimized computing systems
IBM Journal of Research and Development
A novel meta predictor design for hybrid branch prediction
WSEAS Transactions on Computers
Generating power-hungry test programs for power-aware validation of pipelined processors
SBCCI '10 Proceedings of the 23rd symposium on Integrated circuits and system design
Economic analysis of testing homogeneous Manycore chips
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
Characterization of Fixed and Reconfigurable Multi-Core Devices for Application Acceleration
ACM Transactions on Reconfigurable Technology and Systems (TRETS)
Proceedings of the ACM international conference on Object oriented programming systems languages and applications
Towards personal high-performance geospatial computing (HPC-G): perspectives and a case study
Proceedings of the ACM SIGSPATIAL International Workshop on High Performance and Distributed Geographic Information Systems
Proceedings of the Conference on Design, Automation and Test in Europe
An abstraction-guided simulation approach using Markov models for microprocessor verification
Proceedings of the Conference on Design, Automation and Test in Europe
Using speculative functional units in high level synthesis
Proceedings of the Conference on Design, Automation and Test in Europe
Efficient set intersection for inverted indexing
ACM Transactions on Information Systems (TOIS)
Revisiting Cramer's rule for solving dense linear systems
SpringSim '10 Proceedings of the 2010 Spring Simulation Multiconference
Exploiting compression opportunities to improve SpMxV performance on shared memory systems
ACM Transactions on Architecture and Code Optimization (TACO)
A flexible hybrid simulation platform targeting multiple configurable processors SoC
Proceedings of the 2010 Asia and South Pacific Design Automation Conference
Source-level timing annotation for fast and accurate TLM computation model generation
Proceedings of the 2010 Asia and South Pacific Design Automation Conference
MTPP'10 Proceedings of the Second Russia-Taiwan conference on Methods and tools of parallel programming multicomputers
PRADA: a high-performance reconfigurable parallel architecture based on the dataflow model
International Journal of High Performance Systems Architecture
Memory Latency Reduction via Thread Throttling
MICRO '43 Proceedings of the 2010 43rd Annual IEEE/ACM International Symposium on Microarchitecture
An iterative logarithmic multiplier
Microprocessors & Microsystems
High-quality HDR rendering technologies for emerging applications
IBM Journal of Research and Development
Teaching storage infrastructure management and security
2010 Information Security Curriculum Development Conference
Location cache design and performance analysis for chip multiprocessors
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Assessing and optimizing microarchitectural performance of event processing systems
TPCTC'10 Proceedings of the Second TPC technology conference on Performance evaluation, measurement and characterization of complex systems
Mathematical limits of parallel computation for embedded systems
Proceedings of the 16th Asia and South Pacific Design Automation Conference
A novel server-side proxy caching strategy for large-scale multimedia applications
Journal of Parallel and Distributed Computing
Tag overflow buffering: reducing total memory energy by reduced-tag matching
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
On the vectorization of engineering codes using multimedia instructions
VECPAR'10 Proceedings of the 9th international conference on High performance computing for computational science
High-quality spatio-temporal rendering using semi-analytical visibility
ACM SIGGRAPH 2011 papers
Efficient and effective misaligned data access handling in a dynamic binary translation system
ACM Transactions on Architecture and Code Optimization (TACO)
Microprocessors & Microsystems
Parallel 3D multigrid methods on the STI cell BE architecture
Facing the multicore-challenge
Parallel 3D multigrid methods on the STI cell BE architecture
Facing the multicore-challenge
Upper and lower I/O bounds for pebbling r-pyramids
IWOCA'10 Proceedings of the 21st international conference on Combinatorial algorithms
Proceedings of the twenty-third annual ACM symposium on Parallelism in algorithms and architectures
A minimalist cache coherent MPSoC designed for FPGAs
International Journal of High Performance Systems Architecture
Neural, Parallel & Scientific Computations
Transactional conflict decoupling and value prediction
Proceedings of the international conference on Supercomputing
FPGA implementation and performance evaluation of a high throughput crypto coprocessor
Journal of Parallel and Distributed Computing
On the Effect of Quantum Interaction Distance on Quantum Addition Circuits
ACM Journal on Emerging Technologies in Computing Systems (JETC)
HiTune: dataflow-based performance analysis for big data cloud
USENIXATC'11 Proceedings of the 2011 USENIX conference on USENIX annual technical conference
Future Generation Computer Systems
Efficient stack distance computation for priority replacement policies
Proceedings of the 8th ACM International Conference on Computing Frontiers
BarrierWatch: characterizing multithreaded workloads across and within program-defined epochs
Proceedings of the 8th ACM International Conference on Computing Frontiers
Survey and analysis of disk scheduling methods
ACM SIGARCH Computer Architecture News
A helper thread based dynamic cache partitioning scheme for multithreaded applications
Proceedings of the 48th Design Automation Conference
Parallel discrete molecular dynamics simulation with speculation and in-order commitment
Journal of Computational Physics
Strong I/O lower bounds for binomial and FFT computation graphs
COCOON'11 Proceedings of the 17th annual international conference on Computing and combinatorics
An efficient heuristic for instruction scheduling on clustered vliw processors
CASES '11 Proceedings of the 14th international conference on Compilers, architectures and synthesis for embedded systems
Pesto: online storage performance management in virtualized datacenters
Proceedings of the 2nd ACM Symposium on Cloud Computing
Introducing the PilGRIM: a processor for executing lazy functional languages
IFL'10 Proceedings of the 22nd international conference on Implementation and application of functional languages
Parallelizing LIMES for large-scale link discovery
Proceedings of the 7th International Conference on Semantic Systems
Local computation of PageRank: the ranking side
Proceedings of the 20th ACM international conference on Information and knowledge management
Design of on-line clock jitter fault detection circuit for time-error-tolerant system
WSEAS TRANSACTIONS on SYSTEMS
Analytical derivation of traffic patterns in cache-coherent shared-memory systems
Microprocessors & Microsystems
Global-aware and multi-order context-based prefetching for high-performance processors
International Journal of High Performance Computing Applications
ABS: A low-cost adaptive controller for prefetching in a banked shared last-level cache
ACM Transactions on Architecture and Code Optimization (TACO) - HIPEAC Papers
Sabrewing: A lightweight architecture for combined floating-point and integer arithmetic
ACM Transactions on Architecture and Code Optimization (TACO) - HIPEAC Papers
Reducing energy and increasing performance with traffic optimization in many-core systems
Proceedings of the System Level Interconnect Prediction Workshop
Optimizing SDRAM bandwidth for custom FPGA loop accelerators
Proceedings of the ACM/SIGDA international symposium on Field Programmable Gate Arrays
Dataflow-driven execution control in a coarse-grained reconfigurable array (abstract only)
Proceedings of the ACM/SIGDA international symposium on Field Programmable Gate Arrays
Residue cache: a low-energy low-area L2 cache architecture via compression and partial hits
Proceedings of the 44th Annual IEEE/ACM International Symposium on Microarchitecture
HiTune: dataflow-based performance analysis for big data cloud
HotCloud'11 Proceedings of the 3rd USENIX conference on Hot topics in cloud computing
Hybrid layered video encoding for mobile internet-based computer vision and multimedia applications
Mobile Multimedia Processing
A high performance adaptive miss handling architecture for chip multiprocessors
Transactions on High-Performance Embedded Architectures and Compilers IV
Buffer sizing for self-timed stream programs on heterogeneous distributed memory multiprocessors
HiPEAC'10 Proceedings of the 5th international conference on High Performance Embedded Architectures and Compilers
How to enhance a superscalar processor to provide hard real-time capable in-order SMT
ARCS'10 Proceedings of the 23rd international conference on Architecture of Computing Systems
Simulation-based evaluation of the Imagine stream processor with scientific programs
International Journal of High Performance Computing and Networking
PerPI: a tool to measure instruction level parallelism
PARA'10 Proceedings of the 10th international conference on Applied Parallel and Scientific Computing - Volume Part I
A lightweight technique for distributed and incremental program verification
VSTTE'12 Proceedings of the 4th international conference on Verified Software: theories, tools, experiments
Instruction set architectural guidelines for embedded packet-processing engines
Journal of Systems Architecture: the EUROMICRO Journal
An information-theoretic approach to estimate the capacity of processing units
Performance Evaluation
Upper and lower I/O bounds for pebbling r-pyramids
Journal of Discrete Algorithms
WCET-aware data selection and allocation for scratchpad memory
Proceedings of the 13th ACM SIGPLAN/SIGBED International Conference on Languages, Compilers, Tools and Theory for Embedded Systems
Fully homomorphic encryption with polylog overhead
EUROCRYPT'12 Proceedings of the 31st Annual international conference on Theory and Applications of Cryptographic Techniques
SERA-IO: Integrating Energy Consciousness into Parallel I/O Middleware
CCGRID '12 Proceedings of the 2012 12th IEEE/ACM International Symposium on Cluster, Cloud and Grid Computing (ccgrid 2012)
Multimedia Tools and Applications
Mat-core: a decoupled matrix core extension for general-purpose processors
Neural, Parallel & Scientific Computations
A coarse-grained reconfigurable architecture with compilation for high performance
International Journal of Reconfigurable Computing - Special issue on High-Performance Reconfigurable Computing
Formal verification methodology considerations for network on chips
Proceedings of the International Conference on Advances in Computing, Communications and Informatics
Formal Analysis of SystemC Designs in Process Algebra
Fundamenta Informaticae
Bit-parallel multiple pattern matching
PPAM'11 Proceedings of the 9th international conference on Parallel Processing and Applied Mathematics - Volume Part II
Improving the performance of constructive multi-start search using record-keeping
IEA/AIE'12 Proceedings of the 25th international conference on Industrial Engineering and Other Applications of Applied Intelligent Systems: advanced research in applied artificial intelligence
Generating interlocked instruction pipelines from specifications of instruction sets
Proceedings of the eighth IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis
Optimizing matrix transposes using a POWER7 cache model and explicit prefetching
ACM SIGMETRICS Performance Evaluation Review
APC: a performance metric of memory systems
ACM SIGMETRICS Performance Evaluation Review
Performance optimisation of sequential programs on multi-core processors
Proceedings of the South African Institute for Computer Scientists and Information Technologists Conference
Synchronization mechanisms on modern multi-core architectures
ACSAC'07 Proceedings of the 12th Asia-Pacific conference on Advances in Computer Systems Architecture
Data cache organization for accurate timing analysis
Real-Time Systems
Survey of Low-Energy Techniques for Instruction Memory Organisations in Embedded Systems
Journal of Signal Processing Systems
lisa'12 Proceedings of the 26th international conference on Large Installation System Administration: strategies, tools, and techniques
Analysis for REPERA: A Hybrid Data Protection Mechanism in Distributed Environment
International Journal of Cloud Applications and Computing
Predictive Modeling of User Interaction Patterns for 3D Mesh Streaming
International Journal of Information Technology and Web Engineering
Leveraging Heterogeneity in DRAM Main Memories to Accelerate Critical Word Access
MICRO-45 Proceedings of the 2012 45th Annual IEEE/ACM International Symposium on Microarchitecture
Hardware Prefetchers Leak: A Revisit of SVF for Cache-Timing Attacks
MICROW '12 Proceedings of the 2012 45th Annual IEEE/ACM International Symposium on Microarchitecture Workshops
FIRA - a novel method for benchmarking the cache hierarchy
Proceedings of the 5th ACM COMPUTE Conference: Intelligent & scalable system technologies
Near-Optimal Microprocessor and Accelerators Codesign with Latency and Throughput Constraints
ACM Transactions on Architecture and Code Optimization (TACO)
Pipelining for cyclic control systems
Proceedings of the 16th international conference on Hybrid systems: computation and control
Computers and Electrical Engineering
On understanding the energy consumption of ARM-based multicore servers
Proceedings of the ACM SIGMETRICS/international conference on Measurement and modeling of computer systems
Proceedings of the 14th ACM SIGPLAN/SIGBED conference on Languages, compilers and tools for embedded systems
When spatial and temporal locality collide: the case of the missing cache hits
Proceedings of the 4th ACM/SPEC International Conference on Performance Engineering
An experimental study of cascading performance interference in a virtualized environment
ACM SIGMETRICS Performance Evaluation Review
A divide-and-conquer approach for solving singular value decomposition on a heterogeneous system
Proceedings of the ACM International Conference on Computing Frontiers
A novel concurrent cache-friendly binary decision diagram construction for multi-core platforms
Proceedings of the Conference on Design, Automation and Test in Europe
XDRA: exploration and optimization of last-level cache for energy reduction in DDR DRAMs
Proceedings of the 50th Annual Design Automation Conference
Loop acceleration exploration for ASIP architecture
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
LP-NUCA: networks-in-cache for high-performance low-power embedded processors
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
An energy-efficient L2 cache architecture using way tag information under write-through policy
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Low complexity out-of-order issue logic using static circuits
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
On ESL verification of memory consistency for system-on-chip multiprocessing
DATE '12 Proceedings of the Conference on Design, Automation and Test in Europe
Design of a low-energy data processing architecture for WSN nodes
DATE '12 Proceedings of the Conference on Design, Automation and Test in Europe
Data bus swizzling in TSV-based three-dimensional integrated circuits
Microelectronics Journal
Journal of Signal Processing Systems
The Journal of Supercomputing
Explicit reservation of cache memory in a predictable, preemptive multitasking real-time system
ACM Transactions on Embedded Computing Systems (TECS)
Beyond full disk encryption: protection on security-enhanced commodity processors
ACNS'13 Proceedings of the 11th international conference on Applied Cryptography and Network Security
Control-flow integrity principles, implementations, and applications
ACM Transactions on Information and System Security (TISSEC)
Optimal eviction policies for stochastic address traces
Theoretical Computer Science
Memory encryption: A survey of existing techniques
ACM Computing Surveys (CSUR)
Predicate-aware, makespan-preserving software pipelining of scheduling tables
ACM Transactions on Architecture and Code Optimization (TACO)
The Journal of Supercomputing
WCET analysis with MRU cache: Challenging LRU for predictability
ACM Transactions on Embedded Computing Systems (TECS)
Address independent estimation of the boundaries of cache performance
Microprocessors & Microsystems
Hi-index | 0.01 |