Designing the user interface (2nd ed.): strategies for effective human-computer interaction
Designing the user interface (2nd ed.): strategies for effective human-computer interaction
Some computer science issues in ubiquitous computing
Communications of the ACM - Special issue on computer augmented environments: back to the real world
Comparing algorithm for dynamic speed-setting of a low-power CPU
MobiCom '95 Proceedings of the 1st annual international conference on Mobile computing and networking
Using latency to evaluate interactive system performance
OSDI '96 Proceedings of the second USENIX symposium on Operating systems design and implementation
Data driven signal processing: an approach for energy efficient computing
ISLPED '96 Proceedings of the 1996 international symposium on Low power electronics and design
Energy efficient CMOS microprocessor design
HICSS '95 Proceedings of the 28th Hawaii International Conference on System Sciences
Power conscious fixed priority scheduling for hard real-time systems
Proceedings of the 36th annual ACM/IEEE Design Automation Conference
System-level power optimization: techniques and tools
ISLPED '99 Proceedings of the 1999 international symposium on Low power electronics and design
System-level power optimization: techniques and tools
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Voltage scheduling in the IpARM microprocessor system
ISLPED '00 Proceedings of the 2000 international symposium on Low power electronics and design
System architecture directions for networked sensors
ACM SIGPLAN Notices
ACM SIGPLAN Notices
Thread-level parallelism and interactive performance of desktop applications
ACM SIGPLAN Notices
A framework for dynamic energy efficiency and temperature management
Proceedings of the 33rd annual ACM/IEEE international symposium on Microarchitecture
Power aware microarchitecture resource scaling
Proceedings of the conference on Design, automation and test in Europe
Run-time power control scheme using software feedback loop for low-power real-time application
ASP-DAC '00 Proceedings of the 2000 Asia and South Pacific Design Automation Conference
Power optimization and management in embedded systems
Proceedings of the 2001 Asia and South Pacific Design Automation Conference
Low power implementation of a turbo-decoder on programmable architectures
Proceedings of the 2001 Asia and South Pacific Design Automation Conference
Hybrid global/local search strategies for dynamic voltage scaling in embedded multiprocessors
Proceedings of the ninth international symposium on Hardware/software codesign
Improving dynamic voltage scaling algorithms with PACE
Proceedings of the 2001 ACM SIGMETRICS international conference on Measurement and modeling of computer systems
System architecture directions for networked sensors
ASPLOS IX Proceedings of the ninth international conference on Architectural support for programming languages and operating systems
ASPLOS IX Proceedings of the ninth international conference on Architectural support for programming languages and operating systems
Dynamic voltage scaling and power management for portable systems
Proceedings of the 38th annual Design Automation Conference
Thread-level parallelism and interactive performance of desktop applications
ASPLOS IX Proceedings of the ninth international conference on Architectural support for programming languages and operating systems
Variability in the execution of multimedia applications and implications for architecture
ISCA '01 Proceedings of the 28th annual international symposium on Computer architecture
Dynamic voltage scaling on a low-power microprocessor
Proceedings of the 7th annual international conference on Mobile computing and networking
Automatic performance setting for dynamic voltage scaling
Proceedings of the 7th annual international conference on Mobile computing and networking
Physical layer driven protocol and algorithm design for energy-efficient wireless sensor networks
Proceedings of the 7th annual international conference on Mobile computing and networking
Energy priority scheduling for variable voltage processors
ISLPED '01 Proceedings of the 2001 international symposium on Low power electronics and design
Hard real-time scheduling for low-energy using stochastic data and DVS processors
ISLPED '01 Proceedings of the 2001 international symposium on Low power electronics and design
Compiler-directed dynamic voltage/frequency scheduling for energy reduction in microprocessors
ISLPED '01 Proceedings of the 2001 international symposium on Low power electronics and design
Variable voltage task scheduling algorithms for minimizing energy
ISLPED '01 Proceedings of the 2001 international symposium on Low power electronics and design
OM '01 Proceedings of the 2001 ACM SIGPLAN workshop on Optimization of middleware and distributed systems
Real-time dynamic voltage scaling for low-power embedded operating systems
SOSP '01 Proceedings of the eighteenth ACM symposium on Operating systems principles
EDF scheduling using two-mode voltage-clock-scaling for hard real-time systems
CASES '01 Proceedings of the 2001 international conference on Compilers, architecture, and synthesis for embedded systems
Integration of dynamic voltage scaling and soft real-time scheduling for open mobile systems
NOSSDAV '02 Proceedings of the 12th international workshop on Network and operating systems support for digital audio and video
Energy-conserving feedback EDF scheduling for embedded systems with real-time constraints
Proceedings of the joint conference on Languages, compilers and tools for embedded systems: software and compilers for embedded systems
Drowsy caches: simple techniques for reducing leakage power
ISCA '02 Proceedings of the 29th annual international symposium on Computer architecture
Using variable-MHz microprocessors to efficiently handle uncertainty in real-time systems
Proceedings of the 34th annual ACM/IEEE international symposium on Microarchitecture
E2WFQ: an energy efficient fair scheduling policy for wireless systems
Proceedings of the 2002 international symposium on Low power electronics and design
Contents provider-assisted dynamic voltage scaling for low energy multimedia applications
Proceedings of the 2002 international symposium on Low power electronics and design
An adaptive low-power transmission scheme for on-chip networks
Proceedings of the 15th international symposium on System Synthesis
A case for dynamic pipeline scaling
CASES '02 Proceedings of the 2002 international conference on Compilers, architecture, and synthesis for embedded systems
CASES '02 Proceedings of the 2002 international conference on Compilers, architecture, and synthesis for embedded systems
Automatic performance setting for dynamic voltage scaling
Wireless Networks
Wireless sensor networks: a survey
Computer Networks: The International Journal of Computer and Telecommunications Networking
Proceedings of the 2000 IEEE/ACM international conference on Computer-aided design
Power optimization of real-time embedded systems on variable speed processors
Proceedings of the 2000 IEEE/ACM international conference on Computer-aided design
Low power system scheduling and synthesis
Proceedings of the 2001 IEEE/ACM international conference on Computer-aided design
Energy efficient real-time scheduling
Proceedings of the 2001 IEEE/ACM international conference on Computer-aided design
Microarchitecture-level power management
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
ECOSystem: managing energy as a first class operating system resource
Proceedings of the 10th international conference on Architectural support for programming languages and operating systems
Dynamic Power Management in Wireless Sensor Networks
IEEE Design & Test
Dynamic Power Management for Nonstationary Service Requests
IEEE Transactions on Computers
Power Evaluation of a Handheld Computer
IEEE Micro
Low Power Distributed Embedded Systems: Dynamic Voltage Scaling and Synthesis
HiPC '02 Proceedings of the 9th International Conference on High Performance Computing
Compiler-Directed Dynamic Frequency and Voltage Scheduling
PACS '00 Proceedings of the First International Workshop on Power-Aware Computer Systems-Revised Papers
Frame-based dynamic voltage and frequency scaling for a MPEG decoder
Proceedings of the 2002 IEEE/ACM international conference on Computer-aided design
The design, implementation, and evaluation of a compiler algorithm for CPU energy reduction
PLDI '03 Proceedings of the ACM SIGPLAN 2003 conference on Programming language design and implementation
Dynamic management of power consumption
Power aware computing
An Integrated Approach for Applying Dynamic Voltage Scaling to Hard Real-Time Systems
RTAS '03 Proceedings of the The 9th IEEE Real-Time and Embedded Technology and Applications Symposium
ASP-DAC '02 Proceedings of the 2002 Asia and South Pacific Design Automation Conference
VLSID '03 Proceedings of the 16th International Conference on VLSI Design
Vertigo: automatic performance-setting for Linux
ACM SIGOPS Operating Systems Review - OSDI '02: Proceedings of the 5th symposium on Operating systems design and implementation
A Power Perspective of Value Speculation for Superscalar Microprocessors
ICCD '00 Proceedings of the 2000 IEEE International Conference on Computer Design: VLSI in Computers & Processors
DRPM: dynamic speed control for power management in server class disks
Proceedings of the 30th annual international symposium on Computer architecture
Energy management for battery-powered embedded systems
ACM Transactions on Embedded Computing Systems (TECS)
Variable voltage task scheduling algorithms for minimizing energy/power
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Energy-efficient soft real-time CPU scheduling for mobile multimedia systems
SOSP '03 Proceedings of the nineteenth ACM symposium on Operating systems principles
A control-theoretic approach to dynamic voltage scheduling
Proceedings of the 2003 international conference on Compilers, architecture and synthesis for embedded systems
Single-ISA Heterogeneous Multi-Core Architectures: The Potential for Processor Power Reduction
Proceedings of the 36th annual IEEE/ACM International Symposium on Microarchitecture
Razor: A Low-Power Pipeline Based on Circuit-Level Timing Speculation
Proceedings of the 36th annual IEEE/ACM International Symposium on Microarchitecture
Application-directed voltage scaling
IEEE Transactions on Very Large Scale Integration (VLSI) Systems - Special section on low power
Voltage-Clock-Scaling Adaptive Scheduling Techniques for Low Power in Hard Real-Time Systems
IEEE Transactions on Computers
Interconnect-power dissipation in a microprocessor
Proceedings of the 2004 international workshop on System level interconnect prediction
Distributed Multimedia System Design: A Holistic Perspective
Proceedings of the conference on Design, automation and test in Europe - Volume 2
Energy efficient wireless packet scheduling and fair queuing
ACM Transactions on Embedded Computing Systems (TECS)
Iterative schedule optimization for voltage scalable distributed embedded systems
ACM Transactions on Embedded Computing Systems (TECS)
Coordinating energy-aware adaptation of multimedia applications and hardware resource
M3W Proceedings of the 2001 international workshop on Multimedia middleware
Circuit and microarchitectural techniques for reducing cache leakage power
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
μSleep: a technique for reducing energy consumption in handheld devices
Proceedings of the 2nd international conference on Mobile systems, applications, and services
Blocking-aware processor voltage scheduling for real-time tasks
ACM Transactions on Embedded Computing Systems (TECS)
Off-chip latency-driven dynamic voltage and frequency scaling for an MPEG decoding
Proceedings of the 41st annual Design Automation Conference
PACE: A New Approach to Dynamic Voltage Scaling
IEEE Transactions on Computers
Client-centered energy savings for concurrent HTTP connections
NOSSDAV '04 Proceedings of the 14th international workshop on Network and operating systems support for digital audio and video
Proceedings of the 2003 IEEE/ACM international conference on Computer-aided design
Proceedings of the 2003 IEEE/ACM international conference on Computer-aided design
Reducing pipeline energy demands with local DVS and dynamic retiming
Proceedings of the 2004 international symposium on Low power electronics and design
FSM--based power modeling of wireless protocols: the case of bluetooth
Proceedings of the 2004 international symposium on Low power electronics and design
Energy efficient design of wireless sensor nodes
Wireless sensor networks
Dynamic voltage scheduling with buffers in low-power multimedia applications
ACM Transactions on Embedded Computing Systems (TECS)
In-Circuit Self-Tuning of Clock Latencies
ISQED '05 Proceedings of the 6th International Symposium on Quality of Electronic Design
Exploring the Energy-Time Tradeoff in High-Performance Computing
IPDPS '05 Proceedings of the 19th IEEE International Parallel and Distributed Processing Symposium (IPDPS'05) - Workshop 11 - Volume 12
GLSVLSI '05 Proceedings of the 15th ACM Great Lakes symposium on VLSI
Vertigo: automatic performance-setting for Linux
OSDI '02 Proceedings of the 5th symposium on Operating systems design and implementationCopyright restrictions prevent ACM from being able to make the PDFs for this conference available for downloading
A robust self-calibrating transmission scheme for on-chip networks
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Managing server energy and operational costs in hosting centers
SIGMETRICS '05 Proceedings of the 2005 ACM SIGMETRICS international conference on Measurement and modeling of computer systems
User-perceived latency driven voltage scaling for interactive applications
Proceedings of the 42nd annual Design Automation Conference
Energy-efficient policies for embedded clusters
LCTES '05 Proceedings of the 2005 ACM SIGPLAN/SIGBED conference on Languages, compilers, and tools for embedded systems
Feedback EDF scheduling exploiting hardware-assisted asynchronous dynamic voltage scaling
LCTES '05 Proceedings of the 2005 ACM SIGPLAN/SIGBED conference on Languages, compilers, and tools for embedded systems
Using multiple energy gears in MPI programs on a power-scalable cluster
Proceedings of the tenth ACM SIGPLAN symposium on Principles and practice of parallel programming
ACE: an active, client-directed method for reducing energy during web browsing
NOSSDAV '05 Proceedings of the international workshop on Network and operating systems support for digital audio and video
A simple mechanism to adapt leakage-control policies to temperature
ISLPED '05 Proceedings of the 2005 international symposium on Low power electronics and design
AutoDVS: an automatic, general-purpose, dynamic clock scheduling system for hand-held devices
Proceedings of the 5th ACM international conference on Embedded software
Energy management for commodity short-bit-width microcontrollers
Proceedings of the 2005 international conference on Compilers, architectures and synthesis for embedded systems
Energy aware kernel for hard real-time systems
Proceedings of the 2005 international conference on Compilers, architectures and synthesis for embedded systems
Just In Time Dynamic Voltage Scaling: Exploiting Inter-Node Slack to Save Energy in MPI Programs
SC '05 Proceedings of the 2005 ACM/IEEE conference on Supercomputing
IEEE Transactions on Computers
The effects of energy management on reliability in real-time embedded systems
Proceedings of the 2004 IEEE/ACM International conference on Computer-aided design
Power analysis of mobile 3D graphics
Proceedings of the conference on Design, automation and test in Europe: Proceedings
Dynamic voltage scaling techniques for power efficient video decoding
Journal of Systems Architecture: the EUROMICRO Journal
High-level power management of embedded systems with application-specific energy cost functions
Proceedings of the 43rd annual Design Automation Conference
Signature-based workload estimation for mobile 3D graphics
Proceedings of the 43rd annual Design Automation Conference
Energy-efficient CPU scheduling for multimedia applications
ACM Transactions on Computer Systems (TOCS)
Adaptive duty cycling for energy harvesting systems
Proceedings of the 2006 international symposium on Low power electronics and design
Dynamic Power Optimization Targeting User Delays in Interactive Systems
IEEE Transactions on Mobile Computing
Client-Centered, Energy-Efficient Wireless Communication on IEEE 802.11b Networks
IEEE Transactions on Mobile Computing
Energy adaptation for multimedia information kiosks
EMSOFT '06 Proceedings of the 6th ACM & IEEE International conference on Embedded software
Operating System Modifications for Task-Based Speed and Voltage
Proceedings of the 1st international conference on Mobile systems, applications and services
Energy-Adaptive Display System Designs for Future Mobile Environments
Proceedings of the 1st international conference on Mobile systems, applications and services
An online predictive control framework for designing self-managing computing systems
Multiagent and Grid Systems
Evaluation of interval-based dynamic voltage scaling algorithms on mobile Linux system
Proceedings of the 2007 ACM symposium on Applied computing
Currentcy: a unifying abstraction for expressing energy management policies
ATEC '03 Proceedings of the annual conference on USENIX Annual Technical Conference
Policies for dynamic clock scheduling
OSDI'00 Proceedings of the 4th conference on Symposium on Operating System Design & Implementation - Volume 4
DVSleak: combining leakage reduction and voltage scaling in feedback EDF scheduling
Proceedings of the 2007 ACM SIGPLAN/SIGBED conference on Languages, compilers, and tools for embedded systems
Analyzing the Energy-Time Trade-Off in High-Performance Computing Applications
IEEE Transactions on Parallel and Distributed Systems
Self-tuning adaptive delay sequential elements
Microelectronics Journal
Energy efficient DVS schedule for fixed-priority real-time systems
ACM Transactions on Embedded Computing Systems (TECS) - Special Section LCTES'05
Energy optimal speed control of a producer--consumer device pair
ACM Transactions on Embedded Computing Systems (TECS) - Special Section LCTES'05
Power deregulation: eliminating off-chip voltage regulation circuitry from embedded systems
CODES+ISSS '07 Proceedings of the 5th IEEE/ACM international conference on Hardware/software codesign and system synthesis
Compiler-Directed Energy Optimization for Parallel Disk Based Systems
IEEE Transactions on Parallel and Distributed Systems
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Exploiting synchronous and asynchronous DVS for feedback EDF scheduling on an embedded platform
ACM Transactions on Embedded Computing Systems (TECS)
An energy-aware framework for dynamic software management in mobile computing systems
ACM Transactions on Embedded Computing Systems (TECS)
NWSLite: A general-purpose, nonparametric prediction utility for embedded systems
ACM Transactions on Embedded Computing Systems (TECS)
A survey on information processing technologies in wireless sensor networks
International Journal of Ad Hoc and Ubiquitous Computing
On MAC-layer denial of service attacks in IEEE 802.11 ad hoc networks: analysis and counter measures
International Journal of Wireless and Mobile Computing
TSB: A DVS algorithm with quick response for general purpose operating systems
Journal of Systems Architecture: the EUROMICRO Journal
Asynchronous control of modules activity in integrated systems for reducing peak temperatures
Integration, the VLSI Journal
A Dynamic Voltage Scaling Algorithm for Dynamic Workloads
Journal of Signal Processing Systems
Stochastic DVS-based dynamic power management for soft real-time systems
Microprocessors & Microsystems
Just-in-time dynamic voltage scaling: Exploiting inter-node slack to save energy in MPI programs
Journal of Parallel and Distributed Computing
CASES '08 Proceedings of the 2008 international conference on Compilers, architectures and synthesis for embedded systems
Data fusion and topology control in wireless sensor networks
WSEAS Transactions on Signal Processing
Optimizing dynamic performance scaling for user interface performance
Mobility '08 Proceedings of the International Conference on Mobile Technology, Applications, and Systems
Low-complexity policies for energy-performance tradeoff in chip-multi-processors
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Extended MPEG Video Format for Efficient Dynamic Voltage Scaling
IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences
Service level agreement for multithreaded processors
ACM Transactions on Architecture and Code Optimization (TACO)
Design and application of adaptive delay sequential elements
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
System-on-Chip Test Architectures: Nanometer Design for Testability
System-on-Chip Test Architectures: Nanometer Design for Testability
A dynamic frequency scaling solution to DPM in embedded linux systems
IRI'09 Proceedings of the 10th IEEE international conference on Information Reuse & Integration
A cyber-physical systems approach to energy management in data centers
Proceedings of the 1st ACM/IEEE International Conference on Cyber-Physical Systems
ICICS'09 Proceedings of the 7th international conference on Information, communications and signal processing
Transition-aware DVS algorithm for real-time systems using tree structure analysis
Journal of Systems Architecture: the EUROMICRO Journal
Workload-adaptive process tuning strategy for power-efficient multi-core processors
Proceedings of the 16th ACM/IEEE international symposium on Low power electronics and design
Delivering energy proportionality with non energy-proportional systems: optimizing the ensemble
HotPower'08 Proceedings of the 2008 conference on Power aware computing and systems
Computation as estimation: a general framework for robustness and energy efficiency in SoCs
IEEE Transactions on Signal Processing
An overview of achieving energy efficiency in on-chip networks
International Journal of Communication Networks and Distributed Systems
Automating energy optimization with features
FOSD '10 Proceedings of the 2nd International Workshop on Feature-Oriented Software Development
Proceedings of the Conference on Design, Automation and Test in Europe
Parametric timing analysis and its application to dynamic voltage scaling
ACM Transactions on Embedded Computing Systems (TECS)
Reliability-aware dynamic energy management in dependable embedded real-time systems
ACM Transactions on Embedded Computing Systems (TECS)
Support of Android lab modules for embedded system curriculum
WESE '10 Proceedings of the 2010 Workshop on Embedded Systems Education
An Energy Efficient IP over WDM Network
GREENCOM-CPSCOM '10 Proceedings of the 2010 IEEE/ACM Int'l Conference on Green Computing and Communications & Int'l Conference on Cyber, Physical and Social Computing
Energy-aware wireless systems with adaptive power-fidelity tradeoffs
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
GALDS: a complete framework for designing multiclock ASICs and socs
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
System energy minimization via joint optimization of the DC-DC converter and the core
Proceedings of the 17th IEEE/ACM international symposium on Low-power electronics and design
An approach to energy-error tradeoffs in approximate ripple carry adders
Proceedings of the 17th IEEE/ACM international symposium on Low-power electronics and design
Proceedings of the 48th Design Automation Conference
Tradeoff exploration between reliability, power consumption, and execution time
SAFECOMP'11 Proceedings of the 30th international conference on Computer safety, reliability, and security
ACSAC'06 Proceedings of the 11th Asia-Pacific conference on Advances in Computer Systems Architecture
A dynamic energy conservation scheme for clusters in computing centers
ICESS'05 Proceedings of the Second international conference on Embedded Software and Systems
Dynamic voltage scaling for real-time scheduling of multimedia tasks
PCM'05 Proceedings of the 6th Pacific-Rim conference on Advances in Multimedia Information Processing - Volume Part II
Research directions for embedded operating systems
ICESS'04 Proceedings of the First international conference on Embedded Software and Systems
Power-Aware scheduling for parallel security processors with analytical models
LCPC'04 Proceedings of the 17th international conference on Languages and Compilers for High Performance Computing
Power-saving scheduling for weakly dynamic voltage scaling devices
WADS'05 Proceedings of the 9th international conference on Algorithms and Data Structures
Power consumption reduction using dynamic control of micro processor performance
PATMOS'05 Proceedings of the 15th international conference on Integrated Circuit and System Design: power and Timing Modeling, Optimization and Simulation
PATMOS'05 Proceedings of the 15th international conference on Integrated Circuit and System Design: power and Timing Modeling, Optimization and Simulation
CPU packing for multiprocessor power reduction
PACS'03 Proceedings of the Third international conference on Power - Aware Computer Systems
The synergy between power-aware memory systems and processor voltage scaling
PACS'03 Proceedings of the Third international conference on Power - Aware Computer Systems
Efficient voltage scheduling and energy-aware co-synthesis for real-time embedded systems
ACSAC'05 Proceedings of the 10th Asia-Pacific conference on Advances in Computer Systems Architecture
Safe overprovisioning: using power limits to increase aggregate throughput
PACS'04 Proceedings of the 4th international conference on Power-Aware Computer Systems
Self-star Properties in Complex Information Systems
AutoScale: Dynamic, Robust Capacity Management for Multi-Tier Data Centers
ACM Transactions on Computer Systems (TOCS)
Proceedings of the ACM international conference on Object oriented programming systems languages and applications
Journal of Electronic Testing: Theory and Applications
Journal of Computer and System Sciences
Green streams for data-intensive software
Proceedings of the 2013 International Conference on Software Engineering
Racing and pacing to idle: an evaluation of heuristics for energy-aware resource allocation
Proceedings of the Workshop on Power-Aware Computing and Systems
Hi-index | 0.02 |
The reduction of energy consumption in microprocessors can be accomplished without impacting the peak performance through the use of dynamic voltage scaling (DVS). This approach varies the processor voltage under software control to meet dynamically varying performance requirements. This paper presents a foundation for the simulation and analysis of DVS algorithms. These algorithms are applied to a benchmark suite specifically targeted for PDA devices.