Comparing algorithm for dynamic speed-setting of a low-power CPU
MobiCom '95 Proceedings of the 1st annual international conference on Mobile computing and networking
The simulation and evaluation of dynamic voltage scaling algorithms
ISLPED '98 Proceedings of the 1998 international symposium on Low power electronics and design
Power management techniques for mobile communication
MobiCom '98 Proceedings of the 4th annual ACM/IEEE international conference on Mobile computing and networking
Energy-aware adaptation for mobile applications
Proceedings of the seventeenth ACM symposium on Operating systems principles
EMERALDS: a small-memory real-time microkernel
Proceedings of the seventeenth ACM symposium on Operating systems principles
Scheduling Algorithms for Multiprogramming in a Hard-Real-Time Environment
Journal of the ACM (JACM)
Voltage scheduling in the IpARM microprocessor system
ISLPED '00 Proceedings of the 2000 international symposium on Low power electronics and design
Improving dynamic voltage scaling algorithms with PACE
Proceedings of the 2001 ACM SIGMETRICS international conference on Measurement and modeling of computer systems
Dynamic voltage scaling on a low-power microprocessor
Proceedings of the 7th annual international conference on Mobile computing and networking
Automatic performance setting for dynamic voltage scaling
Proceedings of the 7th annual international conference on Mobile computing and networking
Energy priority scheduling for variable voltage processors
ISLPED '01 Proceedings of the 2001 international symposium on Low power electronics and design
Hard real-time scheduling for low-energy using stochastic data and DVS processors
ISLPED '01 Proceedings of the 2001 international symposium on Low power electronics and design
Real-Time Systems
Deadline Scheduling for Real-Time Systems: Edf and Related Algorithms
Deadline Scheduling for Real-Time Systems: Edf and Related Algorithms
Apple Macintosh's Energy Consumption
IEEE Micro
Energy efficient CMOS microprocessor design
HICSS '95 Proceedings of the 28th Hawaii International Conference on System Sciences
The Case for Higher-Level Power Management
HOTOS '99 Proceedings of the The Seventh Workshop on Hot Topics in Operating Systems
Voltage-Clock-Scaling Adaptive Scheduling Techniques for Low Power in Hard Real-Time Systems
RTAS '00 Proceedings of the Sixth IEEE Real Time Technology and Applications Symposium (RTAS 2000)
PowerScope: A Tool for Profiling the Energy Usage of Mobile Applications
WMCSA '99 Proceedings of the Second IEEE Workshop on Mobile Computer Systems and Applications
Integration of dynamic voltage scaling and soft real-time scheduling for open mobile systems
NOSSDAV '02 Proceedings of the 12th international workshop on Network and operating systems support for digital audio and video
Energy-conserving feedback EDF scheduling for embedded systems with real-time constraints
Proceedings of the joint conference on Languages, compilers and tools for embedded systems: software and compilers for embedded systems
Critical power slope: understanding the runtime effects of frequency scaling
ICS '02 Proceedings of the 16th international conference on Supercomputing
Saving energy with architectural and frequency adaptations for multimedia applications
Proceedings of the 34th annual ACM/IEEE international symposium on Microarchitecture
E2WFQ: an energy efficient fair scheduling policy for wireless systems
Proceedings of the 2002 international symposium on Low power electronics and design
Towards energy-aware software-based fault tolerance in real-time systems
Proceedings of the 2002 international symposium on Low power electronics and design
Power-aware video decoding using real-time event handlers
WOWMOM '02 Proceedings of the 5th ACM international workshop on Wireless mobile multimedia
Energy aware task scheduling with task synchronization for embedded real time systems
CASES '02 Proceedings of the 2002 international conference on Compilers, architecture, and synthesis for embedded systems
Process cruise control: event-driven clock scaling for dynamic power management
CASES '02 Proceedings of the 2002 international conference on Compilers, architecture, and synthesis for embedded systems
ECOSystem: managing energy as a first class operating system resource
Proceedings of the 10th international conference on Architectural support for programming languages and operating systems
Pruning-based energy-optimal device scheduling for hard real-time systems
Proceedings of the tenth international symposium on Hardware/software codesign
Dynamic frequency and voltage control for a multiple clock domain microarchitecture
Proceedings of the 35th annual ACM/IEEE international symposium on Microarchitecture
Energy management for real-time embedded applications with compiler support
Proceedings of the 2003 ACM SIGPLAN conference on Language, compiler, and tool for embedded systems
The design, implementation, and evaluation of a compiler algorithm for CPU energy reduction
PLDI '03 Proceedings of the ACM SIGPLAN 2003 conference on Programming language design and implementation
PERCOM '03 Proceedings of the First IEEE International Conference on Pervasive Computing and Communications
Collaborative Operating System and Compiler Power Management for Real-Time Applications
RTAS '03 Proceedings of the The 9th IEEE Real-Time and Embedded Technology and Applications Symposium
An Integrated Approach for Applying Dynamic Voltage Scaling to Hard Real-Time Systems
RTAS '03 Proceedings of the The 9th IEEE Real-Time and Embedded Technology and Applications Symposium
Practical Voltage-Scaling for Fixed-Priority RT-Systems
RTAS '03 Proceedings of the The 9th IEEE Real-Time and Embedded Technology and Applications Symposium
Vertigo: automatic performance-setting for Linux
ACM SIGOPS Operating Systems Review - OSDI '02: Proceedings of the 5th symposium on Operating systems design and implementation
PARM: Power Aware Reconfigurable Middleware
ICDCS '03 Proceedings of the 23rd International Conference on Distributed Computing Systems
On energy-optimal voltage scheduling for fixed-priority hard real-time systems
ACM Transactions on Embedded Computing Systems (TECS)
Dynamic voltage scaling algorithm for fixed-priority real-time systems using work-demand analysis
Proceedings of the 2003 international symposium on Low power electronics and design
Uncertainty-based scheduling: energy-efficient ordering for tasks with variable execution time
Proceedings of the 2003 international symposium on Low power electronics and design
IEEE Transactions on Parallel and Distributed Systems
Energy-efficient soft real-time CPU scheduling for mobile multimedia systems
SOSP '03 Proceedings of the nineteenth ACM symposium on Operating systems principles
A control-theoretic approach to dynamic voltage scheduling
Proceedings of the 2003 international conference on Compilers, architecture and synthesis for embedded systems
Scheduling Real-Time Dwells Using Tasks with Synthetic Periods
RTSS '03 Proceedings of the 24th IEEE International Real-Time Systems Symposium
Power-aware QoS Management in Web Servers
RTSS '03 Proceedings of the 24th IEEE International Real-Time Systems Symposium
FAST: Frequency-Aware Static Timing Analysis
RTSS '03 Proceedings of the 24th IEEE International Real-Time Systems Symposium
A Dynamic Voltage Scaling Algorithm for Sporadic Tasks
RTSS '03 Proceedings of the 24th IEEE International Real-Time Systems Symposium
Throughput and Value Maximization in Wireless Packet Scheduling under Energy and Time Constraints
RTSS '03 Proceedings of the 24th IEEE International Real-Time Systems Symposium
Integrated power management for video streaming to mobile handheld devices
MULTIMEDIA '03 Proceedings of the eleventh ACM international conference on Multimedia
Application-directed voltage scaling
IEEE Transactions on Very Large Scale Integration (VLSI) Systems - Special section on low power
A case for run-time adaptation in packet processing systems
ACM SIGCOMM Computer Communication Review
Energy efficient wireless packet scheduling and fair queuing
ACM Transactions on Embedded Computing Systems (TECS)
Power-Aware Scheduling for Periodic Real-Time Tasks
IEEE Transactions on Computers
Adaptive scheduling server for power-aware real-time tasks
ACM Transactions on Embedded Computing Systems (TECS)
Blocking-aware processor voltage scheduling for real-time tasks
ACM Transactions on Embedded Computing Systems (TECS)
Procrastination scheduling in fixed priority real-time systems
Proceedings of the 2004 ACM SIGPLAN/SIGBED conference on Languages, compilers, and tools for embedded systems
Dynamic voltage scaling for real-time multi-task scheduling using buffers
Proceedings of the 2004 ACM SIGPLAN/SIGBED conference on Languages, compilers, and tools for embedded systems
PACE: A New Approach to Dynamic Voltage Scaling
IEEE Transactions on Computers
Preemption-aware dynamic voltage scaling in hard real-time systems
Proceedings of the 2004 international symposium on Low power electronics and design
Proceedings of the 2004 Asia and South Pacific Design Automation Conference
Dynamic voltage scaling of periodic and aperiodic tasks in priority-driven systems
Proceedings of the 2004 Asia and South Pacific Design Automation Conference
CPU scheduling for statistically-assured real-time performance and improved energy efficiency
Proceedings of the 2nd IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis
Using resource reservation techniques for power-aware scheduling
Proceedings of the 4th ACM international conference on Embedded software
Energy-efficient, utility accrual scheduling under resource constraints for mobile embedded systems
Proceedings of the 4th ACM international conference on Embedded software
Intraprogram dynamic voltage scaling: Bounding opportunities with analytic modeling
ACM Transactions on Architecture and Code Optimization (TACO)
Reducing both dynamic and leakage energy consumption for hard real-time systems
Proceedings of the 2004 international conference on Compilers, architecture, and synthesis for embedded systems
Energy Optimization for Latency- and Quality-Constrained Video Applications
IEEE Design & Test
Dynamic Backlight Adaptation for Low-Power Handheld Devices
IEEE Design & Test
Power-Aware Scheduling for AND/OR Graphs in Real-Time Systems
IEEE Transactions on Parallel and Distributed Systems
Practical voltage scaling for mobile multimedia devices
Proceedings of the 12th annual ACM international conference on Multimedia
Dynamic voltage scheduling with buffers in low-power multimedia applications
ACM Transactions on Embedded Computing Systems (TECS)
Experiences in Managing Energy with ECOSystem
IEEE Pervasive Computing
Energy-Efficient, Utility Accrual Real-Time Scheduling Under the Unimodal Arbitrary Arrival Model
Proceedings of the conference on Design, Automation and Test in Europe - Volume 1
Dynamic Task-Level Voltage Scheduling Optimizations
IEEE Transactions on Computers
GLSVLSI '05 Proceedings of the 15th ACM Great Lakes symposium on VLSI
Probabilistic model checking in practice: case studies with PRISM
ACM SIGMETRICS Performance Evaluation Review
Vertigo: automatic performance-setting for Linux
OSDI '02 Proceedings of the 5th symposium on Operating systems design and implementationCopyright restrictions prevent ACM from being able to make the PDFs for this conference available for downloading
Dynamic Voltage Scaling for Digital Control System Implementation
Real-Time Systems
Feedback EDF scheduling exploiting hardware-assisted asynchronous dynamic voltage scaling
LCTES '05 Proceedings of the 2005 ACM SIGPLAN/SIGBED conference on Languages, compilers, and tools for embedded systems
Using multiple energy gears in MPI programs on a power-scalable cluster
Proceedings of the tenth ACM SIGPLAN symposium on Principles and practice of parallel programming
Design and implementation of a single system image operating system for ad hoc networks
Proceedings of the 3rd international conference on Mobile systems, applications, and services
IEEE Transactions on Parallel and Distributed Systems
Power reduction by varying sampling rate
ISLPED '05 Proceedings of the 2005 international symposium on Low power electronics and design
ISLPED '05 Proceedings of the 2005 international symposium on Low power electronics and design
Efficient behavior-driven runtime dynamic voltage scaling policies
CODES+ISSS '05 Proceedings of the 3rd IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis
Energy management for commodity short-bit-width microcontrollers
Proceedings of the 2005 international conference on Compilers, architectures and synthesis for embedded systems
Energy aware kernel for hard real-time systems
Proceedings of the 2005 international conference on Compilers, architectures and synthesis for embedded systems
Application-Specific Power-Aware Workload Allocation for Voltage Scalable MPSoC Platforms
ICCD '05 Proceedings of the 2005 International Conference on Computer Design
A Power-Aware Run-Time System for High-Performance Computing
SC '05 Proceedings of the 2005 ACM/IEEE conference on Supercomputing
IEEE Transactions on Computers
A simulation-based study of wireless sensor network middleware
International Journal of Network Management
Energy optimization for a two-device data flow chain
Proceedings of the 2004 IEEE/ACM International conference on Computer-aided design
The case for judicious resource management
Proceedings of the twentieth ACM symposium on Operating systems principles
DDVS: distributed dynamic voltage scaling
Proceedings of the twentieth ACM symposium on Operating systems principles
Optimizing intra-task voltage scheduling using data flow analysis
Proceedings of the 2005 Asia and South Pacific Design Automation Conference
A dynamic voltage scaling algorithm for energy reduction in hard real-time systems
Proceedings of the 2005 Asia and South Pacific Design Automation Conference
An intra-task DVS algorithm exploiting path probabilities for real-time systems
ACM SIGBED Review - Special issue: IEEE RTAS 2005 work-in-progress
A simulation methodology for reliability analysis in multi-core SoCs
GLSVLSI '06 Proceedings of the 16th ACM Great Lakes symposium on VLSI
Dynamic voltage scaling for multitasking real-time systems with uncertain execution time
GLSVLSI '06 Proceedings of the 16th ACM Great Lakes symposium on VLSI
Dynamic voltage scaling for the schedulability of jitter-constrained real-time embedded systems
ICCAD '05 Proceedings of the 2005 IEEE/ACM International conference on Computer-aided design
Collaborative operating system and compiler power management for real-time applications
ACM Transactions on Embedded Computing Systems (TECS)
FAST: Frequency-aware static timing analysis
ACM Transactions on Embedded Computing Systems (TECS)
Capturing OS expertise in an event type system: the Bossa experience
EW 10 Proceedings of the 10th workshop on ACM SIGOPS European workshop
Procrastination for leakage-aware rate-monotonic scheduling on a dynamic voltage scaling processor
Proceedings of the 2006 ACM SIGPLAN/SIGBED conference on Language, compilers, and tool support for embedded systems
GRACE-1: Cross-Layer Adaptation for Multimedia Quality and Battery Energy
IEEE Transactions on Mobile Computing
High-level power management of embedded systems with application-specific energy cost functions
Proceedings of the 43rd annual Design Automation Conference
Signature-based workload estimation for mobile 3D graphics
Proceedings of the 43rd annual Design Automation Conference
Energy-efficient CPU scheduling for multimedia applications
ACM Transactions on Computer Systems (TOCS)
Energy-efficient, utility accrual scheduling under resource constraints for mobile embedded systems
ACM Transactions on Embedded Computing Systems (TECS)
A Novel Penalty Controllable Dynamic Voltage Scaling Scheme for Mobile Multimedia Applications
IEEE Transactions on Mobile Computing
Energy adaptation for multimedia information kiosks
EMSOFT '06 Proceedings of the 6th ACM & IEEE International conference on Embedded software
IEEE Transactions on Computers
Optimized Slowdown in Real-Time Task Systems
IEEE Transactions on Computers
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Operating System Modifications for Task-Based Speed and Voltage
Proceedings of the 1st international conference on Mobile systems, applications and services
Balancing power consumption in multiprocessor systems
Proceedings of the 1st ACM SIGOPS/EuroSys European Conference on Computer Systems 2006
Transition-overhead-aware voltage scheduling for fixed-priority real-time systems
ACM Transactions on Design Automation of Electronic Systems (TODAES)
An online predictive control framework for designing self-managing computing systems
Multiagent and Grid Systems
Currentcy: a unifying abstraction for expressing energy management policies
ATEC '03 Proceedings of the annual conference on USENIX Annual Technical Conference
Design and implementation of power-aware virtual memory
ATEC '03 Proceedings of the annual conference on USENIX Annual Technical Conference
MobiUS: enable together-viewing video experience across two mobile devices
Proceedings of the 5th international conference on Mobile systems, applications and services
DVSleak: combining leakage reduction and voltage scaling in feedback EDF scheduling
Proceedings of the 2007 ACM SIGPLAN/SIGBED conference on Languages, compilers, and tools for embedded systems
Java takes flight: time-portable real-time programming with exotasks
Proceedings of the 2007 ACM SIGPLAN/SIGBED conference on Languages, compilers, and tools for embedded systems
Generalizing parametric timing analysis
Proceedings of the 2007 ACM SIGPLAN/SIGBED conference on Languages, compilers, and tools for embedded systems
Efficient and scalable compiler-directed energy optimization for realtime applications
ACM Transactions on Design Automation of Electronic Systems (TODAES)
IEEE Transactions on Computers
Dynamic Voltage Scaling in Multitier Web Servers with End-to-End Delay Control
IEEE Transactions on Computers
Proceedings of the conference on Design, automation and test in Europe
Instruction-set customization for real-time embedded systems
Proceedings of the conference on Design, automation and test in Europe
Online Web Cluster Capacity Estimation and Its Application to Energy Conservation
IEEE Transactions on Parallel and Distributed Systems
A control theoretic approach to energy-efficient pipelined computation in MPSoCs
ACM Transactions on Embedded Computing Systems (TECS) - Special Section LCTES'05
Energy efficient DVS schedule for fixed-priority real-time systems
ACM Transactions on Embedded Computing Systems (TECS) - Special Section LCTES'05
Energy optimal speed control of a producer--consumer device pair
ACM Transactions on Embedded Computing Systems (TECS) - Special Section LCTES'05
Energy-aware scheduling for real-time multiprocessor systems with uncertain task execution time
Proceedings of the 44th annual Design Automation Conference
IWCMC '07 Proceedings of the 2007 international conference on Wireless communications and mobile computing
Energy efficient online deadline scheduling
SODA '07 Proceedings of the eighteenth annual ACM-SIAM symposium on Discrete algorithms
ISLPED '07 Proceedings of the 2007 international symposium on Low power electronics and design
Dynamic voltage scaling under EDF revisited
Real-Time Systems
JTRES '07 Proceedings of the 5th international workshop on Java technologies for real-time and embedded systems
Performance optimal processor throttling under thermal constraints
CASES '07 Proceedings of the 2007 international conference on Compilers, architecture, and synthesis for embedded systems
A unified practical approach to stochastic DVS scheduling
EMSOFT '07 Proceedings of the 7th ACM & IEEE international conference on Embedded software
VirtualPower: coordinated power management in virtualized enterprise systems
Proceedings of twenty-first ACM SIGOPS symposium on Operating systems principles
Utility Accrual Real-Time Scheduling Under the Unimodal Arbitrary Arrival Model with Energy Bounds
IEEE Transactions on Computers
Compiler-Directed Energy Optimization for Parallel Disk Based Systems
IEEE Transactions on Parallel and Distributed Systems
Minimizing expected energy consumption in real-time systems through dynamic voltage scaling
ACM Transactions on Computer Systems (TOCS)
Energy-optimizing source code transformations for operating system-driven embedded software
ACM Transactions on Embedded Computing Systems (TECS)
Exploiting synchronous and asynchronous DVS for feedback EDF scheduling on an embedded platform
ACM Transactions on Embedded Computing Systems (TECS)
Approximation algorithm for the temperature-aware scheduling problem
Proceedings of the 2007 IEEE/ACM international conference on Computer-aided design
Proceedings of the 2007 IEEE/ACM international conference on Computer-aided design
Energy-efficient dynamic task scheduling algorithms for DVS systems
ACM Transactions on Embedded Computing Systems (TECS)
A design framework for real-time embedded systems with code size and energy constraints
ACM Transactions on Embedded Computing Systems (TECS)
Minimum Deadline Calculation for Periodic Real-Time Tasks in Dynamic Priority Systems
IEEE Transactions on Computers
Reactive speed control in temperature-constrained real-time systems
Real-Time Systems
Expected energy consumption minimization in DVS systems with discrete frequencies
Proceedings of the 2008 ACM symposium on Applied computing
TSB: A DVS algorithm with quick response for general purpose operating systems
Journal of Systems Architecture: the EUROMICRO Journal
Certain investigations on energy saving techniques using DVS for low power embedded system
AIC'06 Proceedings of the 6th WSEAS International Conference on Applied Informatics and Communications
Asynchronous control of modules activity in integrated systems for reducing peak temperatures
Integration, the VLSI Journal
A Dynamic Voltage Scaling Algorithm for Dynamic Workloads
Journal of Signal Processing Systems
Competitive non-migratory scheduling for flow time and energy
Proceedings of the twentieth annual symposium on Parallelism in algorithms and architectures
Optimality and improvement of dynamic voltage scaling algorithms for multimedia applications
Proceedings of the 45th annual Design Automation Conference
Feedback-controlled reliability-aware power management for real-time embedded systems
Proceedings of the 45th annual Design Automation Conference
Expected system energy consumption minimization in leakage-aware DVS systems
Proceedings of the 13th international symposium on Low power electronics and design
DVSMT: Dynamic Voltage Scaling for Scheduling Mixed Real-Time Tasks
ICESS '07 Proceedings of the 3rd international conference on Embedded Software and Systems
ICESS '07 Proceedings of the 3rd international conference on Embedded Software and Systems
Energy-Efficient Fixed-Priority Scheduling for Periodic Real-Time Tasks with Multi-priority Subtasks
ICESS '07 Proceedings of the 3rd international conference on Embedded Software and Systems
Generating Sound and Resource-Aware Code from Hybrid Systems Models
Model-Driven Development of Reliable Automotive Services
Leakage-Aware Energy Efficient Scheduling for Fixed-Priority Tasks with Preemption Thresholds
ADMA '08 Proceedings of the 4th international conference on Advanced Data Mining and Applications
A virtual platform for multiprocessor real-time embedded systems
JTRES '08 Proceedings of the 6th international workshop on Java technologies for real-time and embedded systems
EMSOFT '08 Proceedings of the 8th ACM international conference on Embedded software
Low-latency time-portable real-time programming with Exotasks
ACM Transactions on Embedded Computing Systems (TECS)
Procrastination Scheduling for Fixed-Priority Tasks with Preemption Thresholds
NPC '08 Proceedings of the IFIP International Conference on Network and Parallel Computing
Power saving and fault-tolerance in real-time critical embedded systems
Journal of Systems Architecture: the EUROMICRO Journal
Koala: a platform for OS-level power management
Proceedings of the 4th ACM European conference on Computer systems
A DVS-assisted hard real-time I/O device scheduling algorithm
Real-Time Systems
Minimizing CPU energy in real-time systems with discrete speed management
ACM Transactions on Embedded Computing Systems (TECS)
A framework of energy efficient mobile sensing for automatic user state recognition
Proceedings of the 7th international conference on Mobile systems, applications, and services
Near optimal battery-aware energy management
Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design
Tracking the power in an enterprise decision support system
Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design
ICA3PP '09 Proceedings of the 9th International Conference on Algorithms and Architectures for Parallel Processing
Middleware for energy-awareness in mobile devices
Proceedings of the Fourth International ICST Conference on COMmunication System softWAre and middlewaRE
Aggressive dynamic voltage scaling for energy-aware video playback based on decoding time estimation
EMSOFT '09 Proceedings of the seventh ACM international conference on Embedded software
An MDP-based application oriented optimal policy for wireless sensor networks
CODES+ISSS '09 Proceedings of the 7th IEEE/ACM international conference on Hardware/software codesign and system synthesis
Energy-efficiency for multiframe real-time tasks on a dynamic voltage scaling processor
CODES+ISSS '09 Proceedings of the 7th IEEE/ACM international conference on Hardware/software codesign and system synthesis
Energy aware scheduling on desktop grid environment with static performance prediction
SpringSim '09 Proceedings of the 2009 Spring Simulation Multiconference
Towards a general framework for cross-layer decision making in multimedia systems
IEEE Transactions on Circuits and Systems for Video Technology
Optimizing throughput and energy in online deadline scheduling
ACM Transactions on Algorithms (TALG)
Real-time static voltage scaling on multiprocessors
PDCS '07 Proceedings of the 19th IASTED International Conference on Parallel and Distributed Computing and Systems
Slack allocation algorithm for parallel machines
Journal of Parallel and Distributed Computing
Enhanced reliability-aware power management through shared recovery technique
Proceedings of the 2009 International Conference on Computer-Aided Design
Minimizing expected energy consumption through optimal integration of DVS and DPM
Proceedings of the 2009 International Conference on Computer-Aided Design
Hard Real Time Task Oriented Power Saving Scheduling Algorithm Based on DVS
ISICA '09 Proceedings of the 4th International Symposium on Advances in Computation and Intelligence
Quantitative Analysis With the Probabilistic Model Checker PRISM
Electronic Notes in Theoretical Computer Science (ENTCS)
Performance-aware thermal management via task scheduling
ACM Transactions on Architecture and Code Optimization (TACO)
Dynamic slack allocation algorithms for energy minimization on parallel machines
Journal of Parallel and Distributed Computing
Modeling and analysis of power-aware systems
TACAS'03 Proceedings of the 9th international conference on Tools and algorithms for the construction and analysis of systems
Application-supported device management for energy and performance
PACS'02 Proceedings of the 2nd international conference on Power-aware computer systems
Online deadline scheduling with bounded energy efficiency
TAMC'07 Proceedings of the 4th international conference on Theory and applications of models of computation
EUC'07 Proceedings of the 2007 international conference on Embedded and ubiquitous computing
Energy efficient scheduling for real-time systems with mixed workload
EUC'07 Proceedings of the 2007 international conference on Embedded and ubiquitous computing
Energy efficient deadline scheduling in two processor systems
ISAAC'07 Proceedings of the 18th international conference on Algorithms and computation
NPC'07 Proceedings of the 2007 IFIP international conference on Network and parallel computing
High performance computing for dynamic multi-objective optimisation
International Journal of High Performance Systems Architecture
Feedback fuzzy-PI control dynamic voltage scaling for real-time control tasks
ROBIO'09 Proceedings of the 2009 international conference on Robotics and biomimetics
Enabling power-efficient DVFS operations on silicon
IEEE Circuits and Systems Magazine
A probabilistic and energy-efficient scheduling approach for online application in real-time systems
Proceedings of the 47th Design Automation Conference
Transition-aware DVS algorithm for real-time systems using tree structure analysis
Journal of Systems Architecture: the EUROMICRO Journal
A reliability model of energy-efficient parallel disk systems with data mirroring
International Journal of High Performance Systems Architecture
Proceedings of the 16th ACM/IEEE international symposium on Low power electronics and design
Proceedings of the 16th ACM/IEEE international symposium on Low power electronics and design
Deadline scheduling and power management for speed bounded processors
Theoretical Computer Science
Network-aware, energy-conscious, fair service for real-time applications on multiprocessor SoC
ACM SIGBED Review - Special Issue on the Work-in-Progress (WIP) Session at the 2009 IEEE Real-Time Systems Symposium (RTSS)
Automating energy optimization with features
FOSD '10 Proceedings of the 2nd International Workshop on Feature-Oriented Software Development
Proceedings of the Conference on Design, Automation and Test in Europe
Power-aware temporal isolation with variable-bandwidth servers
EMSOFT '10 Proceedings of the tenth ACM international conference on Embedded software
Energy-aware packet and task co-scheduling for embedded systems
EMSOFT '10 Proceedings of the tenth ACM international conference on Embedded software
Temperature-aware task scheduling algorithm for soft real-time multi-core systems
Journal of Systems and Software
Dynamic alteration schemes of real-time schedules for I/O device energy efficiency
ACM Transactions on Embedded Computing Systems (TECS)
Parametric timing analysis and its application to dynamic voltage scaling
ACM Transactions on Embedded Computing Systems (TECS)
Reliability-aware dynamic energy management in dependable embedded real-time systems
ACM Transactions on Embedded Computing Systems (TECS)
Energy-efficient scheduling of real-time periodic tasks in multicore systems
NPC'10 Proceedings of the 2010 IFIP international conference on Network and parallel computing
Power-Aware Consolidation of Scientific Workflows in Virtualized Environments
Proceedings of the 2010 ACM/IEEE International Conference for High Performance Computing, Networking, Storage and Analysis
Optimality and improvement of dynamic voltage scaling algorithms for multimedia applications
IEEE Transactions on Circuits and Systems Part I: Regular Papers
Battery aware dynamic scheduling for periodic task graphs
IPDPS'06 Proceedings of the 20th international conference on Parallel and distributed processing
Hardware-based power management for real-time applications
ISPDC'03 Proceedings of the Second international conference on Parallel and distributed computing
Analysis of integrated circuits thermal dynamics with point heating time
Microelectronics Journal
Support of Android lab modules for embedded system curriculum
WESE '10 Proceedings of the 2010 Workshop on Embedded Systems Education
A new implementation method of timer for periodic tasks
Journal of Embedded Computing - Advanced Topics on Embedded Computing
PCFS: Power Credit Based Fair Scheduler Under DVFS for Muliticore Virtualization Platform
GREENCOM-CPSCOM '10 Proceedings of the 2010 IEEE/ACM Int'l Conference on Green Computing and Communications & Int'l Conference on Cyber, Physical and Social Computing
Task Allocation and Migration Algorithm for Temperature-Constrained Real-Time Multi-Core Systems
GREENCOM-CPSCOM '10 Proceedings of the 2010 IEEE/ACM Int'l Conference on Green Computing and Communications & Int'l Conference on Cyber, Physical and Social Computing
Energy-aware wireless systems with adaptive power-fidelity tradeoffs
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Scheduling of stream-based real-time applications for heterogeneous systems
Proceedings of the 2011 SIGPLAN/SIGBED conference on Languages, compilers and tools for embedded systems
A Metric for Quantifying Similarity between Timing Constraint Sets in Real-Time Systems
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Environment-conscious scheduling of HPC applications on distributed Cloud-oriented data centers
Journal of Parallel and Distributed Computing
Dimetrodon: processor-level preventive thermal management via idle cycle injection
Proceedings of the 48th Design Automation Conference
Managing performance vs. accuracy trade-offs with loop perforation
Proceedings of the 19th ACM SIGSOFT symposium and the 13th European conference on Foundations of software engineering
Slack computation for DVS algorithms in fixed-priority real-time systems using fluid slack analysis
Journal of Systems Architecture: the EUROMICRO Journal
Cooperative energy management in distributed wireless real-time systems
Wireless Networks
Exploiting intra-task slack time of load operations for DVFS in hard real-time multi-core systems
ACM SIGBED Review - Work-in-Progress (WiP) Session of the 23rd Euromicro Conference on Real-Time Systems (ECRTS 2011)
Power efficient rate monotonic scheduling for multi-core systems
Journal of Parallel and Distributed Computing
TL-plane-based multi-core energy-efficient real-time scheduling algorithm for sporadic tasks
ACM Transactions on Architecture and Code Optimization (TACO) - HIPEAC Papers
Power-aware scheduler for virtualized systems
Green Computing Middleware on Proceedings of the 2nd International Workshop
A context-switch reduction heuristic for power-aware off-line scheduling
ACSAC'06 Proceedings of the 11th Asia-Pacific conference on Advances in Computer Systems Architecture
An efficient approach to energy saving in microcontrollers
ACSAC'06 Proceedings of the 11th Asia-Pacific conference on Advances in Computer Systems Architecture
Dynamic repartitioning of real-time schedule on a multicore processor for energy efficiency
EUC'06 Proceedings of the 2006 international conference on Embedded and Ubiquitous Computing
An intra-task DVS algorithm exploiting program path locality for real-time embedded systems
HiPC'05 Proceedings of the 12th international conference on High Performance Computing
Optimal task execution times for periodic tasks using nonlinear constrained optimization
The Journal of Supercomputing
Dynamic voltage scaling for real-time scheduling of multimedia tasks
PCM'05 Proceedings of the 6th Pacific-Rim conference on Advances in Multimedia Information Processing - Volume Part II
An enhanced dynamic voltage scaling scheme for energy-efficient embedded real-time control systems
ICCSA'06 Proceedings of the 2006 international conference on Computational Science and Its Applications - Volume Part IV
Low power microprocessor design for embedded systems
ICCSA'06 Proceedings of the 2006 international conference on Computational Science and Its Applications - Volume Part IV
Energy management for embedded multithreaded processors with integrated EDF scheduling
ARCS'05 Proceedings of the 18th international conference on Architecture of Computing Systems conference on Systems Aspects in Organic and Pervasive Computing
An efficient frequency scaling approach for energy-aware embedded real-time systems
ARCS'05 Proceedings of the 18th international conference on Architecture of Computing Systems conference on Systems Aspects in Organic and Pervasive Computing
Embedded Systems Design
Optimizing quality of service in real-time systems under energy constraints
ACM SIGOPS Operating Systems Review
High performance dynamic voltage/frequency scaling algorithm for real-time dynamic load management
Journal of Systems and Software
Energy consumption in mobile devices: why future systems need requirements–aware energy scale-down
PACS'03 Proceedings of the Third international conference on Power - Aware Computer Systems
The synergy between power-aware memory systems and processor voltage scaling
PACS'03 Proceedings of the Third international conference on Power - Aware Computer Systems
Improved multi-processor scheduling for flow time and energy
Journal of Scheduling
Designing wireless sensor nodes
SAMOS'06 Proceedings of the 6th international conference on Embedded Computer Systems: architectures, Modeling, and Simulation
SAMOS'06 Proceedings of the 6th international conference on Embedded Computer Systems: architectures, Modeling, and Simulation
Self-star Properties in Complex Information Systems
Quasi-static fault-tolerant scheduling schemes for energy-efficient hard real-time systems
Journal of Systems and Software
Journal of Signal Processing Systems
Improving energy efficiency for mobile platforms by exploiting low-power sleep states
Proceedings of the 9th conference on Computing Frontiers
Auto-tuning for energy usage in scientific applications
Euro-Par'11 Proceedings of the 2011 international conference on Parallel Processing - Volume 2
Functional-Level Energy Characterization of µC/OS-II and Cache Locking for Energy Saving
Bell Labs Technical Journal
Extended scheduler for efficient frequency scaling in virtualized systems
ACM SIGOPS Operating Systems Review
A study of the effectiveness of CPU consolidation in a virtualized multi-core server system
Proceedings of the 2012 ACM/IEEE international symposium on Low power electronics and design
An experimental evaluation of real-time DVFS scheduling algorithms
Proceedings of the 5th Annual International Systems and Storage Conference
Energy-efficient tasks scheduling algorithm for real-time multiprocessor embedded systems
The Journal of Supercomputing
A global optimal scheduling algorithm for multiprocessor low-power platforms
Proceedings of the 20th International Conference on Real-Time and Network Systems
On the fundamentals of leakage aware real-time DVS scheduling for peak temperature minimization
Journal of Systems Architecture: the EUROMICRO Journal
Energy efficient heuristic scheduling algorithms for multimedia service
ESCAPE'07 Proceedings of the First international conference on Combinatorics, Algorithms, Probabilistic and Experimental Methodologies
Parallel genetic algorithms for DVS scheduling of distributed embedded systems
HPCC'07 Proceedings of the Third international conference on High Performance Computing and Communications
Applicability of real-time schedulability analysis on a software radio protocol
Proceedings of the 2012 ACM conference on High integrity language technology
Multi-core fixed priority DVS scheduling
ICA3PP'12 Proceedings of the 12th international conference on Algorithms and Architectures for Parallel Processing - Volume Part I
International Journal of Adaptive, Resilient and Autonomic Systems
Energy-aware preemptive scheduling algorithm for sporadic tasks on DVS platform
Microprocessors & Microsystems
A Novel Energy Saving Approach through Mobile Collaborative Computing Systems
International Journal of Handheld Computing Research
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Empirical analysis of power management schemes for multi-core smartphones
Proceedings of the 7th International Conference on Ubiquitous Information Management and Communication
Decreasing power consumption with energy efficient data aware strategies
Future Generation Computer Systems
ACM Transactions on Embedded Computing Systems (TECS) - Special Section on Probabilistic Embedded Computing
Green data centers: Using hierarchies for scalable energy efficiency in large web clusters
Information Processing Letters
Playing games with scenario- and resource-aware SDF graphs through policy iteration
DATE '12 Proceedings of the Conference on Design, Automation and Test in Europe
Power-aware optimization for heterogeneous multi-tier clusters
Journal of Parallel and Distributed Computing
CPU Power Management in Video Transcoding Servers
Proceedings of Network and Operating System Support on Digital Audio and Video Workshop
Power-aware fixed priority scheduling for sporadic tasks in hard real-time systems
Journal of Systems and Software
Low-power scheduling with DVFS for common RTOS on multicore platforms
ACM SIGBED Review - Special Issue on the 3rd Embedded Operating System Workshop (EWiLi 2013)
Hi-index | 0.03 |
In recent years, there has been a rapid and wide spread of non-traditional computing platforms, especially mobile and portable computing devices. As applications become increasingly sophisticated and processing power increases, the most serious limitation on these devices is the available battery life. Dynamic Voltage Scaling (DVS) has been a key technique in exploiting the hardware characteristics of processors to reduce energy dissipation by lowering the supply voltage and operating frequency. The DVS algorithms are shown to be able to make dramatic energy savings while providing the necessary peak computation power in general-purpose systems. However, for a large class of applications in embedded real-time systems like cellular phones and camcorders, the variable operating frequency interferes with their deadline guarantee mechanisms, and DVS in this context, despite its growing importance, is largely overlooked/under-developed. To provide real-time guarantees, DVS must consider deadlines and periodicity of real-time tasks, requiring integration with the real-time scheduler. In this paper, we present a class of novel algorithms called real-time DVS (RT-DVS) that modify the OS's real-time scheduler and task management service to provide significant energy savings while maintaining real-time deadline guarantees. We show through simulations and a working prototype implementation that these RT-DVS algorithms closely approach the theoretical lower bound on energy consumption, and can easily reduce energy consumption 20% to 40% in an embedded real-time system.