Understanding retiming through maximum average-weight cycles
SPAA '91 Proceedings of the third annual ACM symposium on Parallel algorithms and architectures
Methods for message routing in parallel machines
STOC '92 Proceedings of the twenty-fourth annual ACM symposium on Theory of computing
Simple algorithms for routing on butterfly networks with bounded queues
STOC '92 Proceedings of the twenty-fourth annual ACM symposium on Theory of computing
Adapting to asynchronous dynamic networks (extended abstract)
STOC '92 Proceedings of the twenty-fourth annual ACM symposium on Theory of computing
Factors in the performance of the AN1 computer network
SIGMETRICS '92/PERFORMANCE '92 Proceedings of the 1992 ACM SIGMETRICS joint international conference on Measurement and modeling of computer systems
Matching the bisection bound for routing and sorting on the mesh
SPAA '92 Proceedings of the fourth annual ACM symposium on Parallel algorithms and architectures
A lower bound for sorting networks based on the shuffle permutation
SPAA '92 Proceedings of the fourth annual ACM symposium on Parallel algorithms and architectures
Parallel algorithms for gray-scale image component labeling on a mesh-connected computer
SPAA '92 Proceedings of the fourth annual ACM symposium on Parallel algorithms and architectures
Robust bounded-degree networks with small diameters
SPAA '92 Proceedings of the fourth annual ACM symposium on Parallel algorithms and architectures
Embedding leveled hypercube algorithms into hypercubes (extended abstract)
SPAA '92 Proceedings of the fourth annual ACM symposium on Parallel algorithms and architectures
Fast Algorithms for Routing Around Faults in Multibutterflies and Randomly-Wired Splitter Networks
IEEE Transactions on Computers - Special issue on fault-tolerant computing
Design and Analysis of a Generalized Architecture for Reconfigurable m-ary Tree Structures
IEEE Transactions on Computers
Average case analysis of five two-dimensional bubble sorting algorithms
SPAA '93 Proceedings of the fifth annual ACM symposium on Parallel algorithms and architectures
Models of machines and computation for mapping in multicomputers
ACM Computing Surveys (CSUR)
Scalable parallel geometric algorithms for coarse grained multicomputers
SCG '93 Proceedings of the ninth annual symposium on Computational geometry
Wait-free clock synchronization
PODC '93 Proceedings of the twelfth annual ACM symposium on Principles of distributed computing
Parallel computations with Toeplitz-like and Hankel-like matrices
ISSAC '93 Proceedings of the 1993 international symposium on Symbolic and algebraic computation
Parallel algorithms column 1: models of computation
ACM SIGACT News
Tight bounds on the size of fault-tolerant merging and sorting networks with destructive faults
SPAA '93 Proceedings of the fifth annual ACM symposium on Parallel algorithms and architectures
Simple, efficient shared memory simulations
SPAA '93 Proceedings of the fifth annual ACM symposium on Parallel algorithms and architectures
Deterministic distribution sort in shared and distributed memory multiprocessors
SPAA '93 Proceedings of the fifth annual ACM symposium on Parallel algorithms and architectures
Randomized routing with shorter paths
SPAA '93 Proceedings of the fifth annual ACM symposium on Parallel algorithms and architectures
Lower bounds for graph embeddings via algebraic topology
SPAA '93 Proceedings of the fifth annual ACM symposium on Parallel algorithms and architectures
Scan grammars: parallel attribute evaluation via data-parallelism
SPAA '93 Proceedings of the fifth annual ACM symposium on Parallel algorithms and architectures
Vector prefix addition on sub-bus mesh computers
SPAA '93 Proceedings of the fifth annual ACM symposium on Parallel algorithms and architectures
The role of APL and J in high-performance computation
APL '93 Proceedings of the international conference on APL
Approximate load balancing on dynamic and asynchronous networks
STOC '93 Proceedings of the twenty-fifth annual ACM symposium on Theory of computing
CSC '93 Proceedings of the 1993 ACM conference on Computer science
Compiling nested data-parallel programs for shared-memory multiprocessors
ACM Transactions on Programming Languages and Systems (TOPLAS)
A Versatile Ring-Connected Hypercube
IEEE Micro
Improved bounds for routing and sorting on multi-dimensional meshes
SPAA '94 Proceedings of the sixth annual ACM symposium on Parallel algorithms and architectures
2d-bubblesorting in average time O(√N lg N)*
SPAA '94 Proceedings of the sixth annual ACM symposium on Parallel algorithms and architectures
Efficient compilation of high-level data parallel algorithms
SPAA '94 Proceedings of the sixth annual ACM symposium on Parallel algorithms and architectures
AT2 bounds for a class of VLSI problems and string matching
SPAA '94 Proceedings of the sixth annual ACM symposium on Parallel algorithms and architectures
ICS '94 Proceedings of the 8th international conference on Supercomputing
SPAA '94 Proceedings of the sixth annual ACM symposium on Parallel algorithms and architectures
An analysis of diffusive load-balancing
SPAA '94 Proceedings of the sixth annual ACM symposium on Parallel algorithms and architectures
Bounds on the greedy routing algorithm for array networks
SPAA '94 Proceedings of the sixth annual ACM symposium on Parallel algorithms and architectures
Minimal adaptive routing on the mesh with bounded queue size
SPAA '94 Proceedings of the sixth annual ACM symposium on Parallel algorithms and architectures
Performance of hypercube routing schemes with or without buffering
IEEE/ACM Transactions on Networking (TON)
Conflict-free channel assignment for an optical cluster-based shuffle network configuration
SIGCOMM '94 Proceedings of the conference on Communications architectures, protocols and applications
Are multiport memories physically feasible?
ACM SIGARCH Computer Architecture News - Special issue on input/output in parallel computer systems
Are multiport memories physically feasible?
ACM SIGARCH Computer Architecture News
Scalable expanders: exploiting hierarchical random wiring
STOC '94 Proceedings of the twenty-sixth annual ACM symposium on Theory of computing
Two prover protocols: low error at affordable rates
STOC '94 Proceedings of the twenty-sixth annual ACM symposium on Theory of computing
Nearly-linear size holographic proofs
STOC '94 Proceedings of the twenty-sixth annual ACM symposium on Theory of computing
Powerlist: a structure for parallel recursion
ACM Transactions on Programming Languages and Systems (TOPLAS)
Parallel algorithms for the simulation of lossy transmission lines
EURO-DAC '94 Proceedings of the conference on European design automation
Dynamic Broadcasting in Parallel Computing
IEEE Transactions on Parallel and Distributed Systems
Prefix Computations on a Generalized Mesh-Connected Computer with Multiple Buses
IEEE Transactions on Parallel and Distributed Systems
Reconfigurable Buses with Shift Switching: Concepts and Applications
IEEE Transactions on Parallel and Distributed Systems
A nonblocking architecture for broadband multichannel switching
IEEE/ACM Transactions on Networking (TON)
Resource Placement with Multiple Adjacency Constraints in k-ary n-Cubes
IEEE Transactions on Parallel and Distributed Systems
PLDI '95 Proceedings of the ACM SIGPLAN 1995 conference on Programming language design and implementation
Wavelength requirements of all-optical networks
IEEE/ACM Transactions on Networking (TON)
A new structural property of statistical data forks
IEEE/ACM Transactions on Networking (TON)
The CRAFT Fortran programming model
Scientific Programming
Experiences in teaching parallel computing—five years later
ACM SIGCSE Bulletin
Thoughts on parallelism and concurrency in compiling curricula
ACM Computing Surveys (CSUR)
Fundamental ideas for a parallel computing course
ACM Computing Surveys (CSUR)
Deterministic on-line routing on area-universal networks
Journal of the ACM (JACM)
Parallel algorithms for the circuit value update problem
Proceedings of the seventh annual ACM symposium on Parallel algorithms and architectures
A randomized parallel 3D convex hull algorithm for coarse grained multicomputers
Proceedings of the seventh annual ACM symposium on Parallel algorithms and architectures
Proceedings of the seventh annual ACM symposium on Parallel algorithms and architectures
On probabilistic networks for selection, merging, and sorting
Proceedings of the seventh annual ACM symposium on Parallel algorithms and architectures
Optimal trade-offs between size and slowdown for universal parallel networks
Proceedings of the seventh annual ACM symposium on Parallel algorithms and architectures
Space-efficient routing in vertex-symmetric networks (extended abstract)
Proceedings of the seventh annual ACM symposium on Parallel algorithms and architectures
Nonblocking copy networks in multi-channel switching
IEEE/ACM Transactions on Networking (TON)
ACM SIGACT News
Run-time methods for parallelizing partially parallel loops
ICS '95 Proceedings of the 9th international conference on Supercomputing
Efficient embeddings into the hypercube using matrix transformations
ICS '95 Proceedings of the 9th international conference on Supercomputing
Memory requirement for universal routing schemes
Proceedings of the fourteenth annual ACM symposium on Principles of distributed computing
Many-to-one packet routing on grids
STOC '95 Proceedings of the twenty-seventh annual ACM symposium on Theory of computing
STOC '95 Proceedings of the twenty-seventh annual ACM symposium on Theory of computing
Lower bounds for sorting networks
STOC '95 Proceedings of the twenty-seventh annual ACM symposium on Theory of computing
Work-time-optimal parallel algorithms for string problems
STOC '95 Proceedings of the twenty-seventh annual ACM symposium on Theory of computing
On the hardness of approximating optimum schedule problems in store and forward networks
IEEE/ACM Transactions on Networking (TON)
Optimal emulations by butterfly-like networks
Journal of the ACM (JACM)
Matrix inversion in O(log n) on a scan-enhanced reconfigurable mesh computer
CSC '96 Proceedings of the 1996 ACM 24th annual conference on Computer science
Embedding an Arbitrary Binary Tree into the Star Graph
IEEE Transactions on Computers
Randomized Routing with Shorter Paths
IEEE Transactions on Parallel and Distributed Systems
Mesh Connected Computers with Fixed and Reconfigurable Buses: Packet Routing and Sorting
IEEE Transactions on Computers
IEEE Transactions on Computers
Folded Petersen Cube Networks: New Competitors for the Hypercubes
IEEE Transactions on Parallel and Distributed Systems
A Framework for Designing Deadlock-Free Wormhole Routing Algorithms
IEEE Transactions on Parallel and Distributed Systems
IEEE Transactions on Parallel and Distributed Systems
All Nearest Smaller Values on the Hypercube
IEEE Transactions on Parallel and Distributed Systems
A Family of Interconnection Networks for Nonuniform Traffic
IEEE Transactions on Parallel and Distributed Systems
A Theory of Wormhole Routing in Parallel Computers
IEEE Transactions on Computers
ACM Computing Surveys (CSUR)
ACM Computing Surveys (CSUR)
Efficient routing in optical networks
Journal of the ACM (JACM)
Proceedings of the eighth annual ACM symposium on Parallel algorithms and architectures
Asynchronous shared memory search structures
Proceedings of the eighth annual ACM symposium on Parallel algorithms and architectures
Improved methods for hiding latency in high bandwidth networks (extended abstract)
Proceedings of the eighth annual ACM symposium on Parallel algorithms and architectures
On the benefit of supporting virtual channels in wormhole routers
Proceedings of the eighth annual ACM symposium on Parallel algorithms and architectures
Universal continuous routing strategies
Proceedings of the eighth annual ACM symposium on Parallel algorithms and architectures
On the communication throughput of buffered multistage interconnection networks
Proceedings of the eighth annual ACM symposium on Parallel algorithms and architectures
An analysis of dag-consistent distributed shared-memory algorithms
Proceedings of the eighth annual ACM symposium on Parallel algorithms and architectures
ICS '96 Proceedings of the 10th international conference on Supercomputing
Communication-efficient parallel sorting (preliminary version)
STOC '96 Proceedings of the twenty-eighth annual ACM symposium on Theory of computing
Automatic methods for hiding latency in high bandwidth networks (extended abstract)
STOC '96 Proceedings of the twenty-eighth annual ACM symposium on Theory of computing
An O(nlogn)-size fault-tolerant sorting network (extended abstract)
STOC '96 Proceedings of the twenty-eighth annual ACM symposium on Theory of computing
Dynamic deflection routing on arrays (preliminary version)
STOC '96 Proceedings of the twenty-eighth annual ACM symposium on Theory of computing
Universal algorithms for store-and-forward and wormhole routing
STOC '96 Proceedings of the twenty-eighth annual ACM symposium on Theory of computing
LogP: a practical model of parallel computation
Communications of the ACM
Computing Programs Containing Band Linear Recurrences on Vector Supercomputers
IEEE Transactions on Parallel and Distributed Systems
Exact Bounds on Running ASCEND/DESCEND and FAN-IN Algorithms on Synchronous Multiple Bus Networks
IEEE Transactions on Parallel and Distributed Systems
Routing Schemes for Multiple Random Broadcasts in Arbitrary Network Topologies
IEEE Transactions on Parallel and Distributed Systems
The Strict Time Lower Bound and Optimal Schedules for Parallel Prefix with Resource Constraints
IEEE Transactions on Computers
Mesh-Connected Trees: A Bridge Between Grids and Meshes of Trees
IEEE Transactions on Parallel and Distributed Systems
Optimal Information Dissemination in Star and Pancake Networks
IEEE Transactions on Parallel and Distributed Systems
Parallel Computer Vision on a Reconfigurable Multiprocessor Network
IEEE Transactions on Parallel and Distributed Systems
IEEE Transactions on Parallel and Distributed Systems
The Cross Product of Interconnection Networks
IEEE Transactions on Parallel and Distributed Systems
Topologies of Combined (2logN - 1)-Stage Interconnection Networks
IEEE Transactions on Computers
Possible and Impossible Self-Stabilizing Digital ClockSynchronization in General Graphs
Real-Time Systems - Special issue on global time in large scale distributed real-time systems, part I
Proceedings of the ninth annual ACM symposium on Parallel algorithms and architectures
The performance of simple routing algorithms that drop packets
Proceedings of the ninth annual ACM symposium on Parallel algorithms and architectures
Approximation algorithms for structured communication problems
Proceedings of the ninth annual ACM symposium on Parallel algorithms and architectures
Is there an algebraic proof for P ≠ NC? (extended abstract)
STOC '97 Proceedings of the twenty-ninth annual ACM symposium on Theory of computing
STOC '97 Proceedings of the twenty-ninth annual ACM symposium on Theory of computing
A General Method for Deflection Worm Routing on Meshes Based on Packet Routing Algorithms
IEEE Transactions on Parallel and Distributed Systems
Embedding Hierarchical Hypercube Networks into the Hypercube
IEEE Transactions on Parallel and Distributed Systems
IEEE Transactions on Computers
Efficient VLSI Layouts for Homogeneous Product Networks
IEEE Transactions on Computers
Resource Placement in Torus-Based Networks
IEEE Transactions on Computers
The Reconfigurable Ring of Processors: Fine-Grain Tree-Structured Computations
IEEE Transactions on Computers
An Efficient Sorting Algorithm on the Multi-Mesh Network
IEEE Transactions on Computers
Dynamic load balancing strategies for conservative parallel simulations
Proceedings of the eleventh workshop on Parallel and distributed simulation
The Case for Chaotic Adaptive Routing
IEEE Transactions on Computers
Honeycomb Networks: Topological Properties and Communication Algorithms
IEEE Transactions on Parallel and Distributed Systems
Edge Congestion of Shortest Path Systems for All-to-All Communication
IEEE Transactions on Parallel and Distributed Systems
On Embedding Between 2D Meshes of the Same Size
IEEE Transactions on Computers
Efficient Routing and Sorting Schemes for de Bruijn Networks
IEEE Transactions on Parallel and Distributed Systems
An Approach to Designing Modular Extensible Linear Arrays for Regular Algorithms
IEEE Transactions on Computers
Randomized protocols for low-congestion circuit routing in multistage interconnection networks
STOC '98 Proceedings of the thirtieth annual ACM symposium on Theory of computing
Adaptive Fault-Tolerant Routing in Cube-Based Multicomputers Using Safety Vectors
IEEE Transactions on Parallel and Distributed Systems
A framework for simple sorting algorithms on parallel disk systems (extended abstract)
Proceedings of the tenth annual ACM symposium on Parallel algorithms and architectures
Scheduling time-constrained communication in linear networks
Proceedings of the tenth annual ACM symposium on Parallel algorithms and architectures
Protein folding in the hydrophobic-hydrophilic (HP) is NP-complete
RECOMB '98 Proceedings of the second annual international conference on Computational molecular biology
A Theory for Total Exchange in Multidimensional Interconnection Networks
IEEE Transactions on Parallel and Distributed Systems
Parallel Algorithms for Relational Coarsest Partition Problems
IEEE Transactions on Parallel and Distributed Systems
IEEE Transactions on Parallel and Distributed Systems
Scalable S-To-P Broadcasting on Message-Passing MPPs
IEEE Transactions on Parallel and Distributed Systems
Optimized Broadcasting and Multicasting Protocols in Cut-Through Routed Networks
IEEE Transactions on Parallel and Distributed Systems
Improved Compressions of Cube-Connected Cycles Networks
IEEE Transactions on Parallel and Distributed Systems
Analyzing Asynchronous Pipeline Schedules
International Journal of Parallel Programming
Macro-Star Networks: Efficient Low-Degree Alternatives to Star Graphs
IEEE Transactions on Parallel and Distributed Systems
Architecture for a non-deterministic simulation machine
Proceedings of the 30th conference on Winter simulation
Fault Tolerance Properties of Pyramid Networks
IEEE Transactions on Computers
Fault-tolerant routing and multicasting in butterfly networks
Proceedings of the 1999 ACM symposium on Applied computing
IEEE Transactions on Parallel and Distributed Systems
From static to dynamic routing: efficient transformations of store-and-forward protocols
STOC '99 Proceedings of the thirty-first annual ACM symposium on Theory of computing
Resource discovery in distributed networks
Proceedings of the eighteenth annual ACM symposium on Principles of distributed computing
The complexity of end-to-end communication in memoryless networks
Proceedings of the eighteenth annual ACM symposium on Principles of distributed computing
Compact grid layouts of multi-level networks
STOC '99 Proceedings of the thirty-first annual ACM symposium on Theory of computing
ICCAD '92 Proceedings of the 1992 IEEE/ACM international conference on Computer-aided design
Asynchronous Parallel Prefix Computation
IEEE Transactions on Computers
Sorting by Parallel Insertion on a One-Dimensional Subbus Array
IEEE Transactions on Computers
Time-constrained scheduling of weighted packets on trees and meshes
Proceedings of the eleventh annual ACM symposium on Parallel algorithms and architectures
Communication-processor tradeoffs in limited resources PRAM
Proceedings of the eleventh annual ACM symposium on Parallel algorithms and architectures
A comparison of scalable superscalar processors
Proceedings of the eleventh annual ACM symposium on Parallel algorithms and architectures
Tradeoffs between parallelism and fill in nested dissection
Proceedings of the eleventh annual ACM symposium on Parallel algorithms and architectures
Introduction to routing in multicomputer networks
ACM SIGARCH Computer Architecture News
Optimal one-way sorting on a one-dimensional sub-bus array
Proceedings of the sixth annual ACM-SIAM symposium on Discrete algorithms
Depth optimal sorting networks resistant to k passive faults
Proceedings of the seventh annual ACM-SIAM symposium on Discrete algorithms
An efficient algorithm for the vertex-disjoint paths problem in random graphs
Proceedings of the seventh annual ACM-SIAM symposium on Discrete algorithms
Optimal bounds for matching routing on trees
SODA '97 Proceedings of the eighth annual ACM-SIAM symposium on Discrete algorithms
SODA '94 Proceedings of the fifth annual ACM-SIAM symposium on Discrete algorithms
Locally efficient on-line strategies for routing packets along fixed paths
Proceedings of the tenth annual ACM-SIAM symposium on Discrete algorithms
Efficient routing and scheduling algorithms for optical networks
SODA '94 Proceedings of the fifth annual ACM-SIAM symposium on Discrete algorithms
Estimating interpolation error: a combinatorial approach
Proceedings of the tenth annual ACM-SIAM symposium on Discrete algorithms
An O( N ) oblivious routing algorithm for 2-D meshes of constant queue-size
Proceedings of the tenth annual ACM-SIAM symposium on Discrete algorithms
Queueing analysis of oblivious packet-routing networks
SODA '94 Proceedings of the fifth annual ACM-SIAM symposium on Discrete algorithms
Optimal parallel sorting in multi-level storage
SODA '94 Proceedings of the fifth annual ACM-SIAM symposium on Discrete algorithms
Embedding tree structures in massively parallel computers
SAC '95 Proceedings of the 1995 ACM symposium on Applied computing
On the partitionability of hierarchical radiosity
PVGS '99 Proceedings of the 1999 IEEE symposium on Parallel visualization and graphics
Using Emulations to Enhance the Performance of Parallel Architectures
IEEE Transactions on Parallel and Distributed Systems
An efficient dynamic load balancing algorithm for adaptive mesh refinement
SAC '94 Proceedings of the 1994 ACM symposium on Applied computing
FPGA '00 Proceedings of the 2000 ACM/SIGDA eighth international symposium on Field programmable gate arrays
Edge Congestion and Topological Properties of Crossed Cubes
IEEE Transactions on Parallel and Distributed Systems
Randomized load distribution of arbitrary trees in distributed networks
SAC '98 Proceedings of the 1998 ACM symposium on Applied Computing
Performance evaluation of probabilistic tree embedding in cube-connected cycles
SAC '98 Proceedings of the 1998 ACM symposium on Applied Computing
Average diameter of network structures and its estimation
SAC '98 Proceedings of the 1998 ACM symposium on Applied Computing
IEEE Transactions on Parallel and Distributed Systems
Tighter Layouts of the Cube-Connected Cycles
IEEE Transactions on Parallel and Distributed Systems
The average diameter of binary tree structures
SAC '97 Proceedings of the 1997 ACM symposium on Applied computing
On dynamic tree growing in hypercubes
SAC '97 Proceedings of the 1997 ACM symposium on Applied computing
Area-Efficient VLSI Layouts for Binary Hypercubes
IEEE Transactions on Computers
Lower Bounds on Communication Loads and Optimal Placements in Torus Networks
IEEE Transactions on Computers
Intensive Data Management in Parallel Systems: A Survey
Distributed and Parallel Databases
Adaptive reduction parallelization techniques
Proceedings of the 14th international conference on Supercomputing
The calculation of average distance in mesh structures
SAC '00 Proceedings of the 2000 ACM symposium on Applied computing - Volume 1
Proceedings of the ACM 2000 conference on Java Grande
A sorting algorithm on a PC cluster
SAC '00 Proceedings of the 2000 ACM symposium on Applied computing - Volume 2
Fault tolerant networks with small degree
Proceedings of the twelfth annual ACM symposium on Parallel algorithms and architectures
Multithreaded algorithms for the fast Fourier transform
Proceedings of the twelfth annual ACM symposium on Parallel algorithms and architectures
A (2.954 + ε)n oblivious routing algorithm on 2D meshes
Proceedings of the twelfth annual ACM symposium on Parallel algorithms and architectures
VLSI layout and packaging of butterfly networks
Proceedings of the twelfth annual ACM symposium on Parallel algorithms and architectures
Average-case analysis of greedy packet scheduling (extended astract)
Proceedings of the nineteenth annual ACM symposium on Principles of distributed computing
MSXmin: a modular multicast ATM packet switch with low delay and hardware complexity
IEEE/ACM Transactions on Networking (TON)
On Evil Twin Networks and the Value of Limited Randomized Routing
IEEE Transactions on Parallel and Distributed Systems
A Parallel Algorithm for Volume Projections on SIMD Mesh-Connected Computers
The Journal of Supercomputing
On the Isomorphism between Cyclic-Cubes and Wrapped Butterfly Networks
IEEE Transactions on Parallel and Distributed Systems
An Optimal Hardware-Algorithm for Sorting Using a Fixed-Size Parallel Sorting Device
IEEE Transactions on Computers
Neighborhood Information Dissemination in the Star Graph
IEEE Transactions on Computers
Tolerating Multiple Faults in Multistage Interconnection Networks with Minimal Extra Stages
IEEE Transactions on Computers
A Unified Formulation of Honeycomb and Diamond Networks
IEEE Transactions on Parallel and Distributed Systems
An Improved Generalization of Mesh-Connected Computers with Multiple Buses
IEEE Transactions on Parallel and Distributed Systems
A routing algorithm for the pyramid structures
Proceedings of the 2001 ACM symposium on Applied computing
A general approach to dynamic packet routing with bounded buffers
Journal of the ACM (JACM)
Wait-Free Deflection Routing of Long Messages
IEEE Transactions on Parallel and Distributed Systems
Optimal Processor Mapping for Linear-Complement Communication on Hypercubes
IEEE Transactions on Parallel and Distributed Systems
One-to-Many routing on the mesh
Proceedings of the thirteenth annual ACM symposium on Parallel algorithms and architectures
A data tracking scheme for general networks
Proceedings of the thirteenth annual ACM symposium on Parallel algorithms and architectures
Periodic, random-fault-tolerant correction networks
Proceedings of the thirteenth annual ACM symposium on Parallel algorithms and architectures
Non-approximability results for optimization problems on bounded degree instances
STOC '01 Proceedings of the thirty-third annual ACM symposium on Theory of computing
Almost optimal permutation routing on hypercubes
STOC '01 Proceedings of the thirty-third annual ACM symposium on Theory of computing
Communication in the two-way listen-in vertex-disjoint paths mode
Theoretical Computer Science
Extractors and pseudorandom generators
Journal of the ACM (JACM)
On-line scheduling of parallel jobs with runtime restrictions
Theoretical Computer Science
Parallel computing with generalized cellular automata
Progress in computer research
Optimal Tree Access by Elementary and Composite Templates in Parallel Memory Systems
IEEE Transactions on Parallel and Distributed Systems
Permutation-Based Range-Join Algorithms on N-Dimensional Meshes
IEEE Transactions on Parallel and Distributed Systems
A Cost-Effective Approach to Deadlock Handling in Wormhole Networks
IEEE Transactions on Parallel and Distributed Systems
Cycle embedding in faulty hierarchical cubic networks
Proceedings of the 2002 ACM symposium on Applied computing
A Parallel VLSI Video/Communication Controller
Journal of VLSI Signal Processing Systems - Parallel VLSI architectures for image and video processing
Deterministic sorting in O(nlog log n) time and linear space
STOC '02 Proceedings of the thiry-fourth annual ACM symposium on Theory of computing
Configuring of Algorithms in Mapping into Hardware
The Journal of Supercomputing
A New Pattern Representation Scheme Using Data Compression
IEEE Transactions on Pattern Analysis and Machine Intelligence
Improved bounds for the unsplittable flow problem
SODA '02 Proceedings of the thirteenth annual ACM-SIAM symposium on Discrete algorithms
Layout area of the hypercube: (extended abstract)
SODA '02 Proceedings of the thirteenth annual ACM-SIAM symposium on Discrete algorithms
Fault-tolerant routing on complete Josephus Cubes
ACSAC '01 Proceedings of the 6th Australasian conference on Computer systems architecture
Pancycles and hamiltonian-connectedness of the hierarchical cubic network
CRPIT '02 Proceedings of the seventh Asia-Pacific conference on Computer systems architecture
Embedding of fault-tolerant trees in the Josephus Cube
CRPIT '02 Proceedings of the seventh Asia-Pacific conference on Computer systems architecture
Õ(congestion + dilation) hot-potato routing on leveled networks
Proceedings of the fourteenth annual ACM symposium on Parallel algorithms and architectures
Improved fast interger sorting in linear space
Information and Computation
Some permutation routing algorithms for low-dimensional hypercubes
Theoretical Computer Science
On the design of efficient ATM routing schemes
Theoretical Computer Science
Boolean satisfiability with transitivity constraints
ACM Transactions on Computational Logic (TOCL)
Optimal deterministic protocols for mobile robots on a grid
Information and Computation
Parallel computing with generalized cellular automata
Progress in computer research
A survey of graph layout problems
ACM Computing Surveys (CSUR)
Locally Subcube-Connected Hypercube Networks: Theoretical Analysis and Experimental Results
IEEE Transactions on Computers
Fault-Tolerant Meshes with Small Degree
IEEE Transactions on Computers
Optimal and efficient algorithms for summing and prefix summing on parallel machines
Journal of Parallel and Distributed Computing
Fault-tolerant Hamiltonicity of twisted cubes
Journal of Parallel and Distributed Computing
Partitioned parallel radix sort
Journal of Parallel and Distributed Computing
A time-optimal distributed sorting algorithm on a line network
Information Processing Letters
Broadcasting in all-output-port meshes of trees with distance-insensitive switching
Journal of Parallel and Distributed Computing
Embedding graphs with bounded treewidth into their optimal hypercubes
Journal of Algorithms
Parallel FFT on ATM-based networks of workstations
Cluster Computing
Ring embedding in faulty honeycomb rectangular torus
Information Processing Letters
A note on constructing binary heaps with periodic networks
Information Processing Letters
Context-based compression of binary images in parallel
Software—Practice & Experience
Optimal 1-edge fault-tolerant designs for ladders
Information Processing Letters
On the area of hypercube layouts
Information Processing Letters
Fault-tolerant hamiltonian laceability of hypercubes
Information Processing Letters
New bounds on the size of the minimum feedback vertex set in meshes and butterflies
Information Processing Letters
Design of FPGA interconnect for multilevel metalization
FPGA '03 Proceedings of the 2003 ACM/SIGDA eleventh international symposium on Field programmable gate arrays
Beyond Execution Time: Expanding the Use of Performance Models
IEEE Parallel & Distributed Technology: Systems & Technology
Performance Metrics: Keeping the Focus on Runtime
IEEE Parallel & Distributed Technology: Systems & Technology
Building a Multicasting Tree in a High-Speed Network
IEEE Concurrency
Line Digraph Iterations and Connectivity Analysis of de Bruijn and Kautz Graphs
IEEE Transactions on Computers
Diagonal and Toroidal Mesh Networks
IEEE Transactions on Computers
IEEE Transactions on Computers
A Fast VLSI-Efficient Self-Routing Permutation Network
IEEE Transactions on Computers
IEEE Transactions on Computers
Embedding Binary Trees into Crossed Cubes
IEEE Transactions on Computers
Optimal Parallel Hypercube Algorithms for Polygon Problems
IEEE Transactions on Computers
Integer Division in Residue Number Systems
IEEE Transactions on Computers
Lee Distance and Topological Properties of k-ary n-cubes
IEEE Transactions on Computers
Embedding Star Networks into Hypercubes
IEEE Transactions on Computers
Transposition Networks as a Class of Fault-Tolerant Robust Networks
IEEE Transactions on Computers
Minimum-Congestion Hypergraph Embedding in a Cycle
IEEE Transactions on Computers
Improved Lower Bounds on the Reliability of Hypercube Architectures
IEEE Transactions on Parallel and Distributed Systems
Embedding Binary X-Trees and Pyramids in Processor Arrays with Spanning Buses
IEEE Transactions on Parallel and Distributed Systems
Massively Parallel Algorithms for Trace-Driven Cache Simulations
IEEE Transactions on Parallel and Distributed Systems
Concurrent Processing of Linearly Ordered Data Structures on Hypercube Multicomputers
IEEE Transactions on Parallel and Distributed Systems
Executing Algorithms with Hypercube Topology on Torus Multicomputers
IEEE Transactions on Parallel and Distributed Systems
Products of Networks with Logarithmic Diameter and Fixed Degree
IEEE Transactions on Parallel and Distributed Systems
IEEE Transactions on Parallel and Distributed Systems
Annealed Embeddings of Communication Patterns in an Interconnection Cached Network
IEEE Transactions on Parallel and Distributed Systems
Hot-Potato Algorithms for Permutation Routing
IEEE Transactions on Parallel and Distributed Systems
Square Meshes Are Not Optimal for Convex Hull Computation
IEEE Transactions on Parallel and Distributed Systems
Optimal and Load Balanced Mapping of Parallel Priority Queues in Hypercubes
IEEE Transactions on Parallel and Distributed Systems
IEEE Transactions on Parallel and Distributed Systems
Fault-Tolerant Ring Embedding in a Star Graph with Both Link and Node Failures
IEEE Transactions on Parallel and Distributed Systems
Generalized Algorithm for Parallel Sorting on Product Networks
IEEE Transactions on Parallel and Distributed Systems
IEEE Transactions on Parallel and Distributed Systems
Work-Time Optimal k-Merge Algorithms on the PRAM
IEEE Transactions on Parallel and Distributed Systems
Optimal Total Exchange in Cayley Graphs
IEEE Transactions on Parallel and Distributed Systems
Balancing Buffer Utilization in Meshes Using a 'Restricted Area' Concept
IEEE Transactions on Parallel and Distributed Systems
Scalable Stability Detection Using Logical Hypercube
IEEE Transactions on Parallel and Distributed Systems
Filtering Random Graphs to Synthesize Interconnection Networks with Multiple Objectives
IEEE Transactions on Parallel and Distributed Systems
Algorithms for the fixed linear crossing number problem
Discrete Applied Mathematics
A duality theorem for two connectivity-preserving parallel shrinking transformations
Future Generation Computer Systems - Cellular automata CA 2000 and ACRI 2000
A hierarchical three-way interconnect architecture for hexagonal processors
Proceedings of the 2003 international workshop on System-level interconnect prediction
Work-optimal simulation of PRAM models on meshes
Nordic Journal of Computing
Mapping pyramids into 3-D meshes
Nordic Journal of Computing
Super-connectivity and super-edge-connectivity for some interconnection networks
Applied Mathematics and Computation
Computing with solitons: a review and prospectus
Collision-based computing
DEPICT: A Topology-Based Debugger for MPI Programs
HIPS '01 Proceedings of the 6th International Workshop on High-Level Parallel Programming Models and Supportive Environments
Optimal Segmented Scan and Simulation of Reconfigurable Architectures on Fixed Connection Networks
HiPC '00 Proceedings of the 7th International Conference on High Performance Computing
On the Effectiveness of D-BSP as a Bridging Model of Parallel Computation
ICCS '01 Proceedings of the International Conference on Computational Science-Part II
Optimal Sorting Algorithms on Incomplete Meshes with Arbitrary Fault Patterns
ICPP '97 Proceedings of the international Conference on Parallel Processing
Network Performance under Physical Constraints
ICPP '97 Proceedings of the international Conference on Parallel Processing
An Optimal Multiple Bus Network for Fan-in Algorithms
ICPP '97 Proceedings of the international Conference on Parallel Processing
Routing a Permutation in the Hypercube by Two Sets of Edge-Disjoint Paths
IPPS '96 Proceedings of the 10th International Parallel Processing Symposium
On the Communication Capability of the Self-Reconfigurable Gate Array Architecture
IPDPS '02 Proceedings of the 16th International Parallel and Distributed Processing Symposium
IPDPS '02 Proceedings of the 16th International Parallel and Distributed Processing Symposium
Approximate Compaction and Padded-Sorting on Exclusive Write PRAMs
IPPS '96 Proceedings of the 10th International Parallel Processing Symposium
An Efficient Parallel Algorithm for Solving the Knapsack Problem on the Hypercube
IPPS '97 Proceedings of the 11th International Symposium on Parallel Processing
IPPS '97 Proceedings of the 11th International Symposium on Parallel Processing
Performance Analysis of Minimal Adaptive Wormhole Routing with Time-Dependent Deadlock Recovery
IPPS '97 Proceedings of the 11th International Symposium on Parallel Processing
Gracefully Degradable Pipeline Networks
IPPS '97 Proceedings of the 11th International Symposium on Parallel Processing
Optimizing Parallel Bitonic Sort
IPPS '97 Proceedings of the 11th International Symposium on Parallel Processing
Cyclic Networks: A Family of Versatile Fixed-Degree Interconnection Architectures
IPPS '97 Proceedings of the 11th International Symposium on Parallel Processing
k -ary n -trees: High Performance Networks for Massively Parallel Architectures
IPPS '97 Proceedings of the 11th International Symposium on Parallel Processing
Optimal Wormhole Routing in the (n, d)-Torus
IPPS '97 Proceedings of the 11th International Symposium on Parallel Processing
IPDPS '01 Proceedings of the 15th International Parallel & Distributed Processing Symposium
Improved One-to-All Broadcasting Algorithms on Faulty SIMD Hypercubes
IPDPS '01 Proceedings of the 15th International Parallel & Distributed Processing Symposium
Efficient Permutation-Based Range-Join Algorithms on N-Dimensional Meshes
IPDPS '01 Proceedings of the 15th International Parallel & Distributed Processing Symposium
Implementing Shared Memory on Clustered Machines
IPDPS '01 Proceedings of the 15th International Parallel & Distributed Processing Symposium
Parallel and Distributed Solutions for the Optimal Binary Search Tree Problem
IWCC '01 Proceedings of the NATO Advanced Research Workshop on Advanced Environments, Tools, and Applications for Cluster Computing-Revised Papers
Routing and Embeddings in Super Cayley Graphs
PaCT '999 Proceedings of the 5th International Conference on Parallel Computing Technologies
Embedding Fibonacci Cubes into Hypercubes with Omega(2cn) Faulty Nodes
MFCS '00 Proceedings of the 25th International Symposium on Mathematical Foundations of Computer Science
Edge-Bisection of Chordal Rings
MFCS '00 Proceedings of the 25th International Symposium on Mathematical Foundations of Computer Science
Improved Compact Routing Scheme for Chordal Graphs
DISC '02 Proceedings of the 16th International Conference on Distributed Computing
Survivable Networks with Bounded Delay: The Edge Failure Case
ISAAC '99 Proceedings of the 10th International Symposium on Algorithms and Computation
An Intuitive and Effective New Representation for Interconnection Network Structures
ISAAC '00 Proceedings of the 11th International Conference on Algorithms and Computation
ISHPC '00 Proceedings of the Third International Symposium on High Performance Computing
Decentralized Load Balancing in Multi-node Broadcast Schemes for Hypercubes
ISHPC '00 Proceedings of the Third International Symposium on High Performance Computing
Partitioned Parallel Radix Sort
ISHPC '00 Proceedings of the Third International Symposium on High Performance Computing
Fast Integer Sorting in Linear Space
STACS '00 Proceedings of the 17th Annual Symposium on Theoretical Aspects of Computer Science
Wildcard Dimensions in Augmented Star and Bubble-Sort Networks
MASCOTS '95 Proceedings of the 3rd International Workshop on Modeling, Analysis, and Simulation of Computer and Telecommunication Systems
On the Predictive Quality of BSP-like Cost Functions for NOWs
Euro-Par '00 Proceedings from the 6th International Euro-Par Conference on Parallel Processing
Optimal Many-to-One Routing on the Mesh with Constant Queues
Euro-Par '01 Proceedings of the 7th International Euro-Par Conference Manchester on Parallel Processing
Double-Scan: Introducing and Implementing a New Data-Parallel Skeleton
Euro-Par '02 Proceedings of the 8th International Euro-Par Conference on Parallel Processing
Parallel Processing of Multiple Text Queries on Hypercube Interconnection Networks
Euro-Par '99 Proceedings of the 5th International Euro-Par Conference on Parallel Processing
Interval Routing on Layered Cross Product of Trees and Cycles
Euro-Par '99 Proceedings of the 5th International Euro-Par Conference on Parallel Processing
ManArray Processor Interconnection Network: An Introduction
Euro-Par '99 Proceedings of the 5th International Euro-Par Conference on Parallel Processing
Sliding-Window Compression on the Hypercube (Research Note)
Euro-Par '00 Proceedings from the 6th International Euro-Par Conference on Parallel Processing
On the Ádám Conjecture on Circulant Graphs
COCOON '98 Proceedings of the 4th Annual International Conference on Computing and Combinatorics
Efficient Randomized Routing Algorithms on the Two-Dimensional Mesh of Buses
COCOON '98 Proceedings of the 4th Annual International Conference on Computing and Combinatorics
Broadcasting in Generalized de Bruijn Digraphs
COCOON '02 Proceedings of the 8th Annual International Conference on Computing and Combinatorics
Time and Energy Optimal List Ranking Algorithms on the k -Channel Broadcast Communication Model
COCOON '02 Proceedings of the 8th Annual International Conference on Computing and Combinatorics
Secure Distributed Linear Algebra in a Constant Number of Rounds
CRYPTO '01 Proceedings of the 21st Annual International Cryptology Conference on Advances in Cryptology
High Level Simulation & Modeling for Medical Applications - Ultrasound Case
MICCAI '02 Proceedings of the 5th International Conference on Medical Image Computing and Computer-Assisted Intervention-Part II
Parallel Interactive Media Server Systems
SOFSEM '98 Proceedings of the 25th Conference on Current Trends in Theory and Practice of Informatics: Theory and Practice of Informatics
Yet Another Modular Technique for Efficient Leader Election
SOFSEM '98 Proceedings of the 25th Conference on Current Trends in Theory and Practice of Informatics: Theory and Practice of Informatics
Efficient Communication Schemes
SOFSEM '98 Proceedings of the 25th Conference on Current Trends in Theory and Practice of Informatics: Theory and Practice of Informatics
A Multicast FCFS Output Queued Switch without Speedup
NETWORKING '02 Proceedings of the Second International IFIP-TC6 Networking Conference on Networking Technologies, Services, and Protocols; Performance of Computer and Communication Networks; and Mobile and Wireless Communications
Asymptotic Complexity from Experiments? A Case Study for Randomized Algorithms
WAE '00 Proceedings of the 4th International Workshop on Algorithm Engineering
Hierarchical Block Matching Motion Estimation on a Hypercube Multiprocessor
ParNum '99 Proceedings of the 4th International ACPC Conference Including Special Tracks on Parallel Numerics and Parallel Computing in Image Processing, Video Processing, and Multimedia: Parallel Computation
Optimal Tridiagonal Solvers on Mesh Interconnection Networks
ParNum '99 Proceedings of the 4th International ACPC Conference Including Special Tracks on Parallel Numerics and Parallel Computing in Image Processing, Video Processing, and Multimedia: Parallel Computation
CP '01 Proceedings of the 7th International Conference on Principles and Practice of Constraint Programming
Dihamiltonian Decomposition of Regular Graphs with Degree Three
WG '99 Proceedings of the 25th International Workshop on Graph-Theoretic Concepts in Computer Science
New Spectral Lower Bounds on the Bisection Width of Graphs
WG '00 Proceedings of the 26th International Workshop on Graph-Theoretic Concepts in Computer Science
An Additive Stretched Routing Scheme for Chordal Graphs
WG '02 Revised Papers from the 28th International Workshop on Graph-Theoretic Concepts in Computer Science
A Self-Reconfigurable Gate Array Architecture
FPL '00 Proceedings of the The Roadmap to Reconfigurable Computing, 10th International Workshop on Field-Programmable Logic and Applications
Design and Analysis of Dynamic Processes: A Stocastic Approach
ESA '98 Proceedings of the 6th Annual European Symposium on Algorithms
New Bounds for Oblivious Mesh Routing
ESA '98 Proceedings of the 6th Annual European Symposium on Algorithms
On Nonblocking Properties on the Benes Network
ESA '98 Proceedings of the 6th Annual European Symposium on Algorithms
Multipacket Routing on 2-D Meshes and Its Application to Fault-Tolerant Routing
ESA '99 Proceedings of the 7th Annual European Symposium on Algorithms
ESA '00 Proceedings of the 8th Annual European Symposium on Algorithms
Lower Bounds and Exact Algorithms for the Graph Partitioning Problem Using Multicommodity Flows
ESA '01 Proceedings of the 9th Annual European Symposium on Algorithms
PVM Computation of the Transitive Closure: The Dependency Graph Approach
Proceedings of the 8th European PVM/MPI Users' Group Meeting on Recent Advances in Parallel Virtual Machine and Message Passing Interface
IPDPS '01 Proceedings of the 15th International Parallel & Distributed Processing Symposium
Polynomial and Rational Evaluation and Interpolation (with Structured Matrices)
ICAL '99 Proceedings of the 26th International Colloquium on Automata, Languages and Programming
List-ranking on interconnection networks
Information and Computation
Efficient Systolic Array Mapping of FIR Filters Used in PAM-QAM Modulators
Journal of VLSI Signal Processing Systems
Proceedings of the fifteenth annual ACM symposium on Parallel algorithms and architectures
Novel architectures for P2P applications: the continuous-discrete approach
Proceedings of the fifteenth annual ACM symposium on Parallel algorithms and architectures
Compact routing with name independence
Proceedings of the fifteenth annual ACM symposium on Parallel algorithms and architectures
Can we elect if we cannot compare?
Proceedings of the fifteenth annual ACM symposium on Parallel algorithms and architectures
Parallel digital halftoning by error-diffusion
PCK50 Proceedings of the Paris C. Kanellakis memorial workshop on Principles of computing & knowledge: Paris C. Kanellakis memorial workshop on the occasion of his 50th birthday
Optimal oblivious routing in polynomial time
Proceedings of the thirty-fifth annual ACM symposium on Theory of computing
Faster deterministic sorting through better sampling
Theoretical Computer Science
Information Processing Letters
FRONTIERS '96 Proceedings of the 6th Symposium on the Frontiers of Massively Parallel Computation
Spare processor allocation for fault tolerance in torus-based multicomputers
FTCS '96 Proceedings of the The Twenty-Sixth Annual International Symposium on Fault-Tolerant Computing (FTCS '96)
Origin-based fault-tolerant routing in the mesh
HPCA '95 Proceedings of the 1st IEEE Symposium on High-Performance Computer Architecture
The Shuffle-Ring: Overcoming the Increasing Degree of Hypercube
HPCA '96 Proceedings of the 2nd IEEE Symposium on High-Performance Computer Architecture
Fault-Tolerant Multicast Routing in the Mesh with No Virtual Channels
HPCA '96 Proceedings of the 2nd IEEE Symposium on High-Performance Computer Architecture
Some Heuristics and Experiments for Building a Multicasting Tree in a High-Speed Network
HPC-ASIA '97 Proceedings of the High-Performance Computing on the Information Superhighway, HPC-Asia '97
Parallel Sorting on the NEC Cenju-3 and IBM SP2
HPC-ASIA '97 Proceedings of the High-Performance Computing on the Information Superhighway, HPC-Asia '97
Visualization and sonification of methods
PAS '95 Proceedings of the First Aizu International Symposium on Parallel Algorithms/Architecture Synthesis
A journey into multicomputer routing algorithms
PAS '95 Proceedings of the First Aizu International Symposium on Parallel Algorithms/Architecture Synthesis
Efficient scalable mesh algorithms for merging, sorting and selection
PAS '95 Proceedings of the First Aizu International Symposium on Parallel Algorithms/Architecture Synthesis
Hamiltonicity, vertex symmetry, and broadcasting of uni-directional hypercubes
PAS '95 Proceedings of the First Aizu International Symposium on Parallel Algorithms/Architecture Synthesis
PAS '97 Proceedings of the 2nd AIZU International Symposium on Parallel Algorithms / Architecture Synthesis
The Stereo Correspondence Problem on a Ring-based Network
PAS '97 Proceedings of the 2nd AIZU International Symposium on Parallel Algorithms / Architecture Synthesis
Scalable Stability Detection Using Logical Hypercube
SRDS '99 Proceedings of the 18th IEEE Symposium on Reliable Distributed Systems
Resource requirements for field programmable interconnection chips
VLSID '95 Proceedings of the 8th International Conference on VLSI Design
Performance and Complexity of Multicast Cross-Path ATM Switches
INFOCOM '97 Proceedings of the INFOCOM '97. Sixteenth Annual Joint Conference of the IEEE Computer and Communications Societies. Driving the Information Revolution
A Pipelined Single-Bit Controlled Sorting Network with O(N log^2 N) Bit Complexity
INFOCOM '97 Proceedings of the INFOCOM '97. Sixteenth Annual Joint Conference of the IEEE Computer and Communications Societies. Driving the Information Revolution
Sparse hypercube: a minimal k-line broadcast graph
Discrete Applied Mathematics
Brother trees: a family of optimal 1p-Hamiltonian and 1-edge Hamiltonian graphs
Information Processing Letters
Pancake problems with restricted prefix reversals and some corresponding Cayley networks
Journal of Parallel and Distributed Computing
Architecture independent parallel selection with applications to parallel priority queues
Theoretical Computer Science
Fast Subword Permutation Instructions Using Omega and Flip Network Stages
ICCD '00 Proceedings of the 2000 IEEE International Conference on Computer Design: VLSI in Computers & Processors
Multilayer VLSI Layout for Interconnection Networks
ICPP '00 Proceedings of the Proceedings of the 2000 International Conference on Parallel Processing
Efficient Protocols for Permutation Routing on All-Optical Multistage Interconnection Networks
ICPP '00 Proceedings of the Proceedings of the 2000 International Conference on Parallel Processing
Using finite experiments to study asymptotic performance
Experimental algorithmics
Permutation routing in double-loop networks: design and empirical evaluation
Journal of Systems Architecture: the EUROMICRO Journal
Comparing star and pancake networks
The essence of computation
Graph-theoretic analysis of structured peer-to-peer systems: routing distances and fault resilience
Proceedings of the 2003 conference on Applications, technologies, architectures, and protocols for computer communications
Fast merging and sorting on a partitioned optical passive stars network
Computer Science in Perspective
Compact roundtrip routing with topology-independent node names
Proceedings of the twenty-second annual symposium on Principles of distributed computing
Routing networks for distributed hash tables
Proceedings of the twenty-second annual symposium on Principles of distributed computing
Scaling properties of the Internet graph
Proceedings of the twenty-second annual symposium on Principles of distributed computing
Bipanconnectivity and edge-fault-tolerant bipancyclicity of hypercubes
Information Processing Letters
The Link Database: Fast Access to Graphs of the Web
DCC '02 Proceedings of the Data Compression Conference
Design and implementation of a multicomputer interconnection network using FPGAs
FCCM '95 Proceedings of the IEEE Symposium on FPGA's for Custom Computing Machines
Unicast, Multicast, and Broadcast on Enhanced Fibonacci Cubes
ICCCN '95 Proceedings of the 4th International Conference on Computer Communications and Networks
Lower Bounds on Communication Loads and Optimal Placements in Torus Networks
IPPS '98 Proceedings of the 12th. International Parallel Processing Symposium on International Parallel Processing Symposium
Asymptotically Optimal Randomized Tree Embedding in Static Networks
IPPS '98 Proceedings of the 12th. International Parallel Processing Symposium on International Parallel Processing Symposium
Deterministic Routing of h-relations on the Multibutterfly
IPPS '98 Proceedings of the 12th. International Parallel Processing Symposium on International Parallel Processing Symposium
Hyper-Butterfly Network: A Scalable Optimally Fault Tolerant Architecture
IPPS '98 Proceedings of the 12th. International Parallel Processing Symposium on International Parallel Processing Symposium
On the Bisection Width and Expansion of Butterfly Networks
IPPS '98 Proceedings of the 12th. International Parallel Processing Symposium on International Parallel Processing Symposium
Emulating Direct Products by Index-Shuffle Graphs
IPPS '98 Proceedings of the 12th. International Parallel Processing Symposium on International Parallel Processing Symposium
Some characterizations for the wrapped butterfly
Analysis, combinatorics and computing
A new "dual-view" diagram of array structure
Proceedings of the 2003 conference on APL: stretching the mind
Proceedings of the 2003 conference on APL: stretching the mind
Sourcebook of parallel computing
A spectral lower bound for the treewidth of a graph and its consequences
Information Processing Letters
Fault-tolerant cycle embedding in the hypercube
Parallel Computing
Constant time parallel sorting: an empirical view
Journal of Computer and System Sciences
Fault-tolerance of Complete Josephus Cubes
Journal of Systems Architecture: the EUROMICRO Journal
Heuristics, Experimental Subjects, and Treatment Evaluation in Bigraph Crossing Minimization
Journal of Experimental Algorithmics (JEA)
Enhanced Cluster k-Ary n-Cube, A Fault-Tolerant Multiprocessor
IEEE Transactions on Computers
An alternative routing algorithm for the pyramid structures
Proceedings of the 2003 ACM symposium on Applied computing
An optimal broadcasting schema for multidimensional mesh structures
Proceedings of the 2003 ACM symposium on Applied computing
Z4: a new depth-size optimal parallel prefix circuit with small depth
Neural, Parallel & Scientific Computations
Theoretical Computer Science - Selected papers in honor of Lawrence Harper
Expansion of layouts of complete binary trees into grids
Discrete Applied Mathematics
Deterministic computations on a PRAM with static processor and memory faults
Fundamenta Informaticae
Journal of Parallel and Distributed Computing
Optimal broadcast on parallel locality models
Journal of Discrete Algorithms
Parallel Processing of First Order Linear Recurrence on SMP Machines
The Journal of Supercomputing
Blocking behaviors of crosstalk-free optical Banyan networks on vertical stacking
IEEE/ACM Transactions on Networking (TON)
Parallelization of divide-and-conquer by translation to nested loops
Journal of Functional Programming
Convergence Theorems for Some Layout Measures on Random Lattice and Random Geometric Graphs
Combinatorics, Probability and Computing
A new approach to constructing optimal parallel prefix circuits with small depth
Journal of Parallel and Distributed Computing
Efficient algorithms for checking the equivalence of multistage interconnection networks
Journal of Parallel and Distributed Computing
Time and work optimal simulation of basic reconfigurable meshes on hypercubes
Journal of Parallel and Distributed Computing
Expanding and forwarding parameters of product graphs
Discrete Applied Mathematics - Discrete mathematics and theoretical computer science (DMTCS)
Deterministic sorting in O(nlog logn) time and linear space
Journal of Algorithms
Journal of Combinatorial Theory Series A
Family trees: an ordered dictionary with optimal congestion, locality, degree, and search time
SODA '04 Proceedings of the fifteenth annual ACM-SIAM symposium on Discrete algorithms
Lattice sensor networks: capacity limits, optimal routing and robustness to failures
Proceedings of the 3rd international symposium on Information processing in sensor networks
The bisection width and the isoperimetric number of arrays
Discrete Applied Mathematics - Optimal discrete structure and algorithms (ODSA 2000)
Linear array and ring embeddings in conditional faulty hypercubes
Theoretical Computer Science
On fault tolerance of 3-dimensional mesh networks
Journal of Computer Science and Technology
Improved lower bounds for embedding hypercubes on de Bruijn graphs
Journal of Parallel and Distributed Computing
Journal of Parallel and Distributed Computing
Balanced binary trees for ID management and load balance in distributed hash tables
Proceedings of the twenty-third annual ACM symposium on Principles of distributed computing
New spectral lower bounds on the bisection width of graphs
Theoretical Computer Science
Hamiltonian properties on the class of hypercube-like networks
Information Processing Letters - Devoted to the rapid publication of short contributions to information processing
Efficient and reliable high level communication in randomly deployed wireless sensor networks
Proceedings of the second international workshop on Mobility management & wireless access protocols
COMPSAC '04 Proceedings of the 28th Annual International Computer Software and Applications Conference - Volume 01
On the scaling of congestion in the internet graph
ACM SIGCOMM Computer Communication Review
The super laceability of the hypercubes
Information Processing Letters
Hyper hamiltonian laceability on edge fault star graph
Information Sciences: an International Journal
Journal of Systems Architecture: the EUROMICRO Journal
Performance limits of divisible load processing in systems with limited communication buffers
Journal of Parallel and Distributed Computing
Lower Bounds on the Loading of Multiple Bus Networks for Binary Tree Algorithms
IEEE Transactions on Computers
Parallel Implementation of Back-Propagation Algorithm in Networks of Workstations
IEEE Transactions on Parallel and Distributed Systems
Optimal oblivious routing in polynomial time
Journal of Computer and System Sciences - Special issue: STOC 2003
Design of FPGA interconnect for multilevel metallization
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Unifying mesh- and tree-based programmable interconnect
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
The KR-Benes Network: A Control-Optimal Rearrangeable Permutation Network
IEEE Transactions on Computers
Fast and Scalable Parallel Matrix Computations on Distributed Memory Systems
IPDPS '05 Proceedings of the 19th IEEE International Parallel and Distributed Processing Symposium (IPDPS'05) - Papers - Volume 01
PDM Sorting Algorithms That Take A Small Number of Passes
IPDPS '05 Proceedings of the 19th IEEE International Parallel and Distributed Processing Symposium (IPDPS'05) - Papers - Volume 01
Building on a Framework: Using FG for More Flexibility and Improved Performance in Parallel Programs
IPDPS '05 Proceedings of the 19th IEEE International Parallel and Distributed Processing Symposium (IPDPS'05) - Papers - Volume 01
Rapidly Mixing Random Walks on Hypercubes with Application to Dynamic Tree Evolution
IPDPS '05 Proceedings of the 19th IEEE International Parallel and Distributed Processing Symposium (IPDPS'05) - Papers - Volume 01
Configuring the Circuit Switched Tree for Multiple Width Communications
IPDPS '05 Proceedings of the 19th IEEE International Parallel and Distributed Processing Symposium (IPDPS'05) - Workshop 8 - Volume 09
Message Passing for Linux Clusters with Gigabit Ethernet Mesh Connections
IPDPS '05 Proceedings of the 19th IEEE International Parallel and Distributed Processing Symposium (IPDPS'05) - Workshop 9 - Volume 10
Lower bounds on systolic gossip
Information and Computation
Balanced boolean functions that can be evaluated so that every input bit is unlikely to be read
Proceedings of the thirty-seventh annual ACM symposium on Theory of computing
Scalarization using loop alignment and loop skewing
The Journal of Supercomputing
Sensitivity Analysis of a Cluster-Based Interconnect Model for FPGAs
ISVLSI '05 Proceedings of the IEEE Computer Society Annual Symposium on VLSI: New Frontiers in VLSI Design
Parallel Routing Algorithms for Nonblocking Electronic and Photonic Switching Networks
IEEE Transactions on Parallel and Distributed Systems
IEEE Transactions on Parallel and Distributed Systems
Performance, Algorithmic, and Robustness Attributes of Perfect Difference Networks
IEEE Transactions on Parallel and Distributed Systems
A generalization of the 0-1 principle for sorting
Information Processing Letters
Encyclopedia of Computer Science
A Family of Mechanisms for Congestion Control in Wormhole Networks
IEEE Transactions on Parallel and Distributed Systems
Hamiltonicity of the WK-Recursive Network with and without Faulty Nodes
IEEE Transactions on Parallel and Distributed Systems
IEEE Transactions on Parallel and Distributed Systems
Embedding longest fault-free paths onto star graphs with more vertex faults
Theoretical Computer Science
Parallel Computing
A cost-optimal parallel implementation of a tridiagonal system solver using skeletons
Future Generation Computer Systems - Special issue: Parallel computing technologies
Implementing declarative overlays
Proceedings of the twentieth ACM symposium on Operating systems principles
An Efficient Index-Based Checkpointing Protocol with Constant-Size Control Information on Messages
IEEE Transactions on Dependable and Secure Computing
Graph-theoretic analysis of structured peer-to-peer systems: routing distances and fault resilience
IEEE/ACM Transactions on Networking (TON)
Synthesis of the synchronization of general pipeline systems
Acta Cybernetica
A pipeline architecture for computing the Euler number of a binary image
Journal of Systems Architecture: the EUROMICRO Journal
Analysis and evaluation of a hybrid interconnect structure for FPGAs
Proceedings of the 2004 IEEE/ACM International conference on Computer-aided design
Embedding the incomplete hypercube in books
Information Processing Letters
Optimal many-to-one routing on the mesh with constant queues
Information Processing Letters
The Linear Layout of the Incomplete Hypercube
HPCASIA '05 Proceedings of the Eighth International Conference on High-Performance Computing in Asia-Pacific Region
Optimal and efficient parallel tridiagonal solvers using direct methods
The Journal of Supercomputing - Special issue: Parallel and distributed processing and applications
Efficient parallel geometric algorithms on a mesh of trees
ACM-SE 33 Proceedings of the 33rd annual on Southeast regional conference
Wavelength Assignment for Realizing Parallel FFT on Regular Optical Networks
The Journal of Supercomputing
Hypercube Computations on Partitioned Optical Passive Stars Networks
IEEE Transactions on Parallel and Distributed Systems
Cayley graphs as models of deterministic small-world networks
Information Processing Letters
A displacement approach to decoding algebraic codes
Contemporary mathematics
Robust network connectivity: when it's the big picture that matters
SIGMETRICS '06/Performance '06 Proceedings of the joint international conference on Measurement and modeling of computer systems
Lattice networks: capacity limits, optimal routing, and queueing behavior
IEEE/ACM Transactions on Networking (TON)
Modeling key agreement in multi-hop ad hoc networks
Proceedings of the 2006 international conference on Wireless communications and mobile computing
The Grid-Pyramid: A Generalized Pyramid Network
The Journal of Supercomputing
An optimal message routing algorithm for circulant networks
Journal of Systems Architecture: the EUROMICRO Journal
Oracle size: a new measure of difficulty for communication tasks
Proceedings of the twenty-fifth annual ACM symposium on Principles of distributed computing
Efficient routing with small buffers in dense networks
IPSN '05 Proceedings of the 4th international symposium on Information processing in sensor networks
Multilayer grid embeddings of iterated line digraphs
CATS '06 Proceedings of the 12th Computing: The Australasian Theroy Symposium - Volume 51
Proceedings of the 2006 ACM/IEEE conference on Supercomputing
The super connectivity of the pancake graphs and the super laceability of the star graphs
Theoretical Computer Science
Optimal oblivious routing on d-dimensional meshes
Theoretical Computer Science - Foundations of software science and computation structures
Discrete Applied Mathematics - Special issue: Max-algebra
Improved bounds for the unsplittable flow problem
Journal of Algorithms
On Different Models for Packet Flow in Multistage Interconnection Networks
Fundamenta Informaticae
Containment properties of product and power graphs
Discrete Applied Mathematics
Probabilistic analysis on mesh network fault tolerance
Journal of Parallel and Distributed Computing
Path bipancyclicity of hypercubes
Information Processing Letters
Dense sets and embedding binary trees into hypercubes
Discrete Applied Mathematics
Conflict-free star-access in parallel memory systems
Journal of Parallel and Distributed Computing
A Scalable Configurable Architecture for Advanced Wireless Communication Algorithms
Journal of VLSI Signal Processing Systems
Panconnectivity and edge-fault-tolerant pancyclicity of augmented cubes
Parallel Computing
Parallel construction of optimal independent spanning trees on hypercubes
Parallel Computing
The Journal of Supercomputing
Hardware supported multicast in fat-tree-based InfiniBand networks
The Journal of Supercomputing
Cycles embedding in hypercubes with node failures
Information Processing Letters
Queue layouts of iterated line directed graphs
Discrete Applied Mathematics
Fault-tolerant pancyclicity of augmented cubes
Information Processing Letters
FLUX interconnection networks on demand
Journal of Systems Architecture: the EUROMICRO Journal
Hamiltonian-connectivity and strongly Hamiltonian-laceability of folded hypercubes
Computers & Mathematics with Applications
Computational Properties of Mesh Connected Trees: Versatile Architectures for Parallel Computation
ICPP '94 Proceedings of the 1994 International Conference on Parallel Processing - Volume 01
Implementing DSP Algorithms with On-Chip Networks
NOCS '07 Proceedings of the First International Symposium on Networks-on-Chip
Throughput Region of Finite-Buffered Networks
IEEE Transactions on Parallel and Distributed Systems
A Group Construction Method with Applications to Deriving Pruned Interconnection Networks
IEEE Transactions on Parallel and Distributed Systems
On compact and efficient routing in certain graph classes
Discrete Applied Mathematics
IEEE Transactions on Parallel and Distributed Systems
Novel architectures for P2P applications: The continuous-discrete approach
ACM Transactions on Algorithms (TALG)
You can get there from here: connectivity of random graphs on grids
Proceedings of the 44th annual Design Automation Conference
Node-disjoint paths in hierarchical hypercube networks
Information Sciences: an International Journal
Conditional fault-tolerant hamiltonicity of star graphs
Parallel Computing
An improved upper bound on the queuenumber of the hypercube
Information Processing Letters
Scalability of wireless networks
IEEE/ACM Transactions on Networking (TON)
On the spanning connectivity and spanning laceability of hypercube-like networks
Theoretical Computer Science
Further mathematical properties of Cayley digraphs applied to hexagonal and honeycomb meshes
Discrete Applied Mathematics
Algorithmic and explicit determination of the Lovász number for certain circulant graphs
Discrete Applied Mathematics
Feedback vertex sets in mesh-based networks
Theoretical Computer Science
Cycles passing through prescribed edges in a hypercube with some faulty edges
Information Processing Letters
The bipanconnectivity and m-panconnectivity of the folded hypercube
Theoretical Computer Science
Panconnectivity and edge-pancyclicity of 3-ary N-cubes
The Journal of Supercomputing
Optimal hypercube simulation on the partitioned optical passive stars network
The Journal of Supercomputing
Approximating the fixed linear crossing number
Discrete Applied Mathematics
Efficient bufferless packet switching on trees and leveled networks
Journal of Parallel and Distributed Computing
The globally Bi-3*-connected property of the honeycomb rectangular torus
Information Sciences: an International Journal
Conditional edge-fault-tolerant edge-bipancyclicity of hypercubes
Information Sciences: an International Journal
The m-pancycle-connectivity of a WK-Recursive network
Information Sciences: an International Journal
Efficient heuristics for message dissemination in networks
PDCN'07 Proceedings of the 25th conference on Proceedings of the 25th IASTED International Multi-Conference: parallel and distributed computing and networks
An optimal scheduling algorithm for an agent-based multicast strategy on irregular networks
The Journal of Supercomputing
Journal of Computer and System Sciences
A generic theoretical framework for modeling gossip-based algorithms
ACM SIGOPS Operating Systems Review - Gossip-based computer networking
An efficient representation of Benes networks and its applications
Journal of Discrete Algorithms
Fast and scalable computations of 2D image moments
Image and Vision Computing
Dynamic channel selection: an efficient strategy for balancing traffic in meshes
International Journal of Computational Science and Engineering
Performance modelling for vertically stacked optical banyan networks with extra stage
International Journal of Computational Science and Engineering
A visual environment for specifying global reduction operations
International Journal of High Performance Computing and Networking
Hamiltonian connectivity of the WK-recursive network with faulty nodes
Information Sciences: an International Journal
Conflict-free container routing in mesh yard layouts
Robotics and Autonomous Systems
The pancyclicity and the Hamiltonian-connectivity of the generalized base-b hypercube
Computers and Electrical Engineering
Mesh-of-tree deterministic routing for network-on-chip architecture
Proceedings of the 18th ACM Great Lakes symposium on VLSI
Computation-efficient parallel prefix
AIC'06 Proceedings of the 6th WSEAS International Conference on Applied Informatics and Communications
RTOIN: a new scalable optical interconnection network
Proceedings of the 2nd international conference on Scalable information systems
The edge-pancyclicity of dual-cube extensive networks
CEA'08 Proceedings of the 2nd WSEAS International Conference on Computer Engineering and Applications
A perfect load balancing algorithm on cube-connected cycles
CIMMACS'06 Proceedings of the 5th WSEAS International Conference on Computational Intelligence, Man-Machine Systems and Cybernetics
Compact roundtrip routing with topology-independent node names
Journal of Computer and System Sciences
Diameter variability of cycles and tori
Information Sciences: an International Journal
Geodesic pancyclicity of crossed cubes
MATH'06 Proceedings of the 10th WSEAS International Conference on APPLIED MATHEMATICS
Paths to stardom: calibrating the potential of a peer-based data management system
Proceedings of the 2008 ACM SIGMOD international conference on Management of data
Communication in wireless networks with directional antennas
Proceedings of the twentieth annual symposium on Parallelism in algorithms and architectures
Embedding of meshes in Möbius cubes
Theoretical Computer Science
Edge-bipancyclicity of a hypercube with faulty vertices and edges
Discrete Applied Mathematics
Embedding hamiltonian paths in hypercubes with a required vertex in a fixed position
Information Processing Letters
An area-efficient high-throughput hybrid interconnection network for single-chip parallel processing
Proceedings of the 45th annual Design Automation Conference
Fast reconfiguration algorithms for time, space, and wavelength dilated optical Benes networks
International Journal of Parallel, Emergent and Distributed Systems
A fault-free Hamiltonian cycle passing through prescribed edges in a hypercube with faulty edges
Information Processing Letters
Strictly nonblocking f-cast photonic networks
IEEE/ACM Transactions on Networking (TON)
Dcell: a scalable and fault-tolerant network structure for data centers
Proceedings of the ACM SIGCOMM 2008 conference on Data communication
Two families of parallel prefix algorithms for multicomputers
TELE-INFO'08 Proceedings of the 7th WSEAS International Conference on Telecommunications and Informatics
A Note on “An improved upper bound on the queuenumber of the hypercube”
Information Processing Letters
Fault-free cycles in folded hypercubes with more faulty elements
Information Processing Letters
High level communication functionalities for wireless sensor networks
Theoretical Computer Science
On the k-subgraphs of the generalized n-cubes
MATH'08 Proceedings of the American Conference on Applied Mathematics
Information Processing Letters
A note about some properties of BC graphs
Information Processing Letters
Fault-free Hamiltonian cycles in twisted cubes with conditional link faults
Theoretical Computer Science
Automorphisms of augmented cubes
International Journal of Computer Mathematics
The bipancycle-connectivity of the hypercube
Information Sciences: an International Journal
Straightforward construction of depth-size optimal, parallel prefix circuits with fan-out 2
ACM Transactions on Design Automation of Electronic Systems (TODAES)
The unpredictable deviousness of models
Theoretical Computer Science
Faulty-tolerant algorithm for mapping a complete binary tree in an IEH
WSEAS Transactions on Computers
Load-balance and fault-tolerance for embedding a complete binary tree in an IEH with N-expansion
WSEAS Transactions on Computers
International Journal of Computer Mathematics
Edge-fault-tolerant Hamiltonicity of pancake graphs under the conditional fault model
Theoretical Computer Science
On randomized broadcasting in Star graphs
Discrete Applied Mathematics
Finding cycles in hierarchical hypercube networks
Information Processing Letters
On embedding cycles into faulty dual-cubes
Information Processing Letters
Conditional fault diameter of crossed cubes
Journal of Parallel and Distributed Computing
Minor-embedding in adiabatic quantum computation: I. The parameter setting problem
Quantum Information Processing
Parallel prefix algorithms on the multicomputer
WSEAS Transactions on Computer Research
Embedding a family of 2D meshes into Möbius cubes
WSEAS Transactions on Mathematics
Network load-aware content distribution in overlay networks
Computer Communications
Long paths in hypercubes with conditional node-faults
Information Sciences: an International Journal
Degree 3 Suffices: A Large-Scale Overlay for P2P Networks
OPODIS '08 Proceedings of the 12th International Conference on Principles of Distributed Systems
Fault-free longest paths in star networks with conditional link faults
Theoretical Computer Science
On the bipanpositionable bipanconnectedness of hypercubes
Theoretical Computer Science
Improving the average delay of sorting
Theoretical Computer Science
Fast problem-size-independent parallel prefix circuits
Journal of Parallel and Distributed Computing
Improved book-embeddings of incomplete hypercubes
Discrete Applied Mathematics
The super spanning connectivity and super spanning laceability of the enhanced hypercubes
The Journal of Supercomputing
On the enhanced hyper-hamiltonian laceability of hypercubes
CEA'09 Proceedings of the 3rd WSEAS international conference on Computer engineering and applications
On path bipancyclicity of hypercubes
Information Processing Letters
Dihedral Butterfly Digraph and Its Cayley Graph Representation
IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences
A New Dimension Analysis on Blocking Behavior in Banyan-Based Optical Switching Networks
IEICE - Transactions on Information and Systems
Boolean circuit programming: A new paradigm to design parallel algorithms
Journal of Discrete Algorithms
A general approach to L(h,k)-label interconnection networks
Journal of Computer Science and Technology
Optimal node-selection algorithm for parallel download in overlay content-distribution networks
Computer Networks: The International Journal of Computer and Telecommunications Networking
Constructing the nearly shortest path in crossed cubes
Information Sciences: an International Journal
Efficient parallelizations of Hermite and Smith normal form algorithms
Parallel Computing
Evolving specific network statistical properties using a gene regulatory network model
Proceedings of the 11th Annual conference on Genetic and evolutionary computation
A DoS-resilient information system for dynamic data management
Proceedings of the twenty-first annual symposium on Parallelism in algorithms and architectures
BCube: a high performance, server-centric network architecture for modular data centers
Proceedings of the ACM SIGCOMM 2009 conference on Data communication
1-vertex-fault-tolerant cycles embedding on folded hypercubes
Discrete Applied Mathematics
Accelerating certain outputs of merging and sorting networks
Theoretical Computer Science
Long paths in hypercubes with a quadratic number of faults
Information Sciences: an International Journal
Multistage off-line permutation packet routing on a mesh: an approach with elementary mathematics
Journal of Computer Science and Technology
PaCT '09 Proceedings of the 10th International Conference on Parallel Computing Technologies
Optimal fault-tolerant Hamiltonicity of star graphs with conditional edge faults
The Journal of Supercomputing
An Efficient Parallel Sorting Algorithm on Metacube Multiprocessors
ICA3PP '09 Proceedings of the 9th International Conference on Algorithms and Architectures for Parallel Processing
Fault-Free Cycles in Conditional Faulty Folded Hypercubes
ICA3PP '09 Proceedings of the 9th International Conference on Algorithms and Architectures for Parallel Processing
Parallel Particle Swarm Optimization with Adaptive Asynchronous Migration Strategy
ICA3PP '09 Proceedings of the 9th International Conference on Algorithms and Architectures for Parallel Processing
Fault-Tolerant Hamiltonicity of Augmented Cubes under the Conditional Fault Model
ICA3PP '09 Proceedings of the 9th International Conference on Algorithms and Architectures for Parallel Processing
Recursive Dual-Net: A New Universal Network for Supercomputers of the Next Generation
ICA3PP '09 Proceedings of the 9th International Conference on Algorithms and Architectures for Parallel Processing
The Recursive Dual-Net and Its Applications
APPT '09 Proceedings of the 8th International Symposium on Advanced Parallel Processing Technologies
A Lower Bound for Oblivious Dimensional Routing
Euro-Par '09 Proceedings of the 15th International Euro-Par Conference on Parallel Processing
The bipanpositionable bipancyclic property of the hypercube
Computers & Mathematics with Applications
Embedding Hamiltonian paths in augmented cubes with a required vertex in a fixed position
Computers & Mathematics with Applications
Strongly Hamiltonian laceability of the even k-ary n-cube
Computers and Electrical Engineering
New parallel prefix algorithms
AIC'09 Proceedings of the 9th WSEAS international conference on Applied informatics and communications
Timing-driven optimization using lookahead logic circuits
Proceedings of the 46th Annual Design Automation Conference
Massively parallel processing: it's déjà vu all over again
Proceedings of the 46th Annual Design Automation Conference
Embedding geodesic and balanced cycles into hypercubes
WSEAS Transactions on Mathematics
MDCube: a high performance network structure for modular data center interconnection
Proceedings of the 5th international conference on Emerging networking experiments and technologies
A versatile linear insertion sorter based on an FIFO scheme
Microelectronics Journal
A further result on fault-free cycles in faulty folded hypercubes
Information Processing Letters
Cycles embedding in exchanged hypercubes
Information Processing Letters
Routing and wavelength assignment for hypercube in array-based WDM optical networks
Journal of Parallel and Distributed Computing
The panconnectivity and the pancycle-connectivity of the generalized base-b hypercube
The Journal of Supercomputing
Nash Equilibria for Voronoi Games on Transitive Graphs
WINE '09 Proceedings of the 5th International Workshop on Internet and Network Economics
Discrete Applied Mathematics
A cost-optimal parallel implementation of a tridiagonal system solver using skeletons
Future Generation Computer Systems - Special issue: Parallel computing technologies
Lower bounds on systolic gossip
Information and Computation
Embedding the incomplete hypercube in books
Information Processing Letters
Optimal many-to-one routing on the mesh with constant queues
Information Processing Letters
A generalization of the 0--1 principle for sorting
Information Processing Letters
Cayley graphs as models of deterministic small-world networks
Information Processing Letters
Topological properties of twisted cube
Information Sciences: an International Journal
Longest fault-free paths in hypercubes with vertex faults
Information Sciences: an International Journal
Fault-tolerant mapping of a mesh network in a flexible hypercube
WSEAS Transactions on Computers
Mesh-of-trees and alternative interconnection networks for single-chip parallelism
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Virtual Net: an efficient simulation for parallel computation
International Journal of Modelling and Simulation
Lower bounds on the connectivity probability for 2-D mesh networks
WiCOM'09 Proceedings of the 5th International Conference on Wireless communications, networking and mobile computing
Parallel Computing
Formal description of a distributed location service for mobile ad hoc networks
ASM'03 Proceedings of the abstract state machines 10th international conference on Advances in theory and practice
Succinct representations of permutations
ICALP'03 Proceedings of the 30th international conference on Automata, languages and programming
Knitting for fun: a recursive sweater
FUN'07 Proceedings of the 4th international conference on Fun with algorithms
Congestion and almost invariant sets in dynamical systems
SNSC'01 Proceedings of the 2nd international conference on Symbolic and numerical scientific computation
Partially reconfigurable point-to-point interconnects in Virtex-II pro FPGAs
ARC'07 Proceedings of the 3rd international conference on Reconfigurable computing: architectures, tools and applications
Memory organization schemes for large shared data
STACS'99 Proceedings of the 16th annual conference on Theoretical aspects of computer science
On the complexity of approximating colored-graph problems extended abstract
COCOON'99 Proceedings of the 5th annual international conference on Computing and combinatorics
On routing in circulant graphs
COCOON'99 Proceedings of the 5th annual international conference on Computing and combinatorics
Communication algorithms with advice
Journal of Computer and System Sciences
Improving the average delay of sorting
TAMC'07 Proceedings of the 4th international conference on Theory and applications of models of computation
Path embedding on folded hypercubes
TAMC'07 Proceedings of the 4th international conference on Theory and applications of models of computation
Two-node-Hamiltonicity of enhanced pyramid networks
Information Sciences: an International Journal
Conditional edge-fault Hamiltonicity of augmented cubes
Information Sciences: an International Journal
Hamiltonian property on binary recursive networks
FAW'07 Proceedings of the 1st annual international conference on Frontiers in algorithmics
A performance guaranteed new algorithm for fault-tolerant routing in folded cubes
FAW'07 Proceedings of the 1st annual international conference on Frontiers in algorithmics
Keyword searching in structured overlays via content distance addressing
DBISP2P'05/06 Proceedings of the 2005/2006 international conference on Databases, information systems, and peer-to-peer computing
Optimal routing algorithm and diameter in hexagonal torus networks
APPT'07 Proceedings of the 7th international conference on Advanced parallel processing technologies
A clustering model for multicast on hypercube network
GPC'08 Proceedings of the 3rd international conference on Advances in grid and pervasive computing
NPC'07 Proceedings of the 2007 IFIP international conference on Network and parallel computing
Hamiltonicity of matching composition networks with conditional edge faults
TAMC'08 Proceedings of the 5th international conference on Theory and applications of models of computation
Deterministic hot-potato permutation routing on the mesh and the torus
TAMC'08 Proceedings of the 5th international conference on Theory and applications of models of computation
Pancyclicity and bipancyclicity of conditional faulty folded hypercubes
Information Sciences: an International Journal
Parallel approximation algorithms for facility-location problems
Proceedings of the twenty-second annual ACM symposium on Parallelism in algorithms and architectures
Network-on-chip architecture design based on mesh-of-tree deterministic routing topology
International Journal of High Performance Systems Architecture
SAMOS'09 Proceedings of the 9th international conference on Systems, architectures, modeling and simulation
A Low-Overhead Asynchronous Interconnection Network for GALS Chip Multiprocessors
NOCS '10 Proceedings of the 2010 Fourth ACM/IEEE International Symposium on Networks-on-Chip
Cycles passing through a prescribed path in a hypercube with faulty edges
Information Processing Letters
Discrete load balancing is (almost) as easy as continuous load balancing
Proceedings of the 29th ACM SIGACT-SIGOPS symposium on Principles of distributed computing
The panpositionable panconnectedness of augmented cubes
Information Sciences: an International Journal
Asymptotically optimal dynamic tree evolution by rapidly mixing random walks on regular networks
Journal of Parallel and Distributed Computing
Embedding of tori and grids into twisted cubes
Theoretical Computer Science
Fault-tolerant meshes and tori embedded in a faulty supercube
WSEAS Transactions on Computers
Maintaining the Ranch topology
Journal of Parallel and Distributed Computing
Bipanconnectivity of balanced hypercubes
Computers & Mathematics with Applications
Mutually independent Hamiltonian cycles in k-ary n-cubes when k is odd
AMERICAN-MATH'10 Proceedings of the 2010 American conference on Applied mathematics
The 3*-connected property of pyramid networks
Computers & Mathematics with Applications
A dynamic programming algorithm for simulation of a multi-dimensional torus in a crossed cube
Information Sciences: an International Journal
Mutually independent Hamiltonian cycles in dual-cubes
The Journal of Supercomputing
A model of computation for MapReduce
SODA '10 Proceedings of the twenty-first annual ACM-SIAM symposium on Discrete Algorithms
Randomized Shellsort: a simple oblivious sorting algorithm
SODA '10 Proceedings of the twenty-first annual ACM-SIAM symposium on Discrete Algorithms
Algorithmic techniques for regular networks of processors
Algorithms and theory of computation handbook
Algorithms and theory of computation handbook
Theory of communication networks
Algorithms and theory of computation handbook
Parallel computation: models and complexity issues
Algorithms and theory of computation handbook
Conditional edge-fault-tolerant Hamiltonicity of dual-cubes
Information Sciences: an International Journal
The Journal of Supercomputing
Increasing the adaptivity of routing algorithms for k-ary n-cubes
EUROMICRO-PDP'02 Proceedings of the 10th Euromicro conference on Parallel, distributed and network-based processing
Towards efficient BSP implementations of BSR programs for some computational geometry problems
EURO-PDP'00 Proceedings of the 8th Euromicro conference on Parallel and distributed processing
LogfP - a model for small messages in InfiniBand
IPDPS'06 Proceedings of the 20th international conference on Parallel and distributed processing
Algorithm engineering: bridging the gap between algorithm theory and practice
Algorithm engineering: bridging the gap between algorithm theory and practice
Edge-fault-tolerant diameter and bipanconnectivity of hypercubes
Information Processing Letters
SkewCCC+: a heterogeneous distributed hash table
OPODIS'10 Proceedings of the 14th international conference on Principles of distributed systems
A systolic VLSI architecture for multi-dimensional transforms
ICASSP'93 Proceedings of the 1993 IEEE international conference on Acoustics, speech, and signal processing: plenary, special, audio, underwater acoustics, VLSI, neural networks - Volume I
A theory of decomposition into prime factors of layered interconnection networks
Discrete Applied Mathematics
Energy proportionality for disk storage using replication
Proceedings of the 14th International Conference on Extending Database Technology
Pancyclicity of Restricted Hypercube-Like Networks under the Conditional Fault Model
SIAM Journal on Discrete Mathematics
Scalable and cost-effective interconnection of data-center servers using dual server ports
IEEE/ACM Transactions on Networking (TON)
Edge-bipancyclicity of the k-ary n-cubes with faulty nodes and edges
Information Sciences: an International Journal
Fault-tolerant edge-pancyclicity of locally twisted cubes
Information Sciences: an International Journal
Scalable multi-input-multi-output queues with application to variation-tolerant architectures
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
WSEAS Transactions on Information Science and Applications
Mutually independent Hamiltonian cycles in k-ary n-cubes when k is even
Computers and Electrical Engineering
Efficient connectivity testing of hypercubic networks with faults
IWOCA'10 Proceedings of the 21st international conference on Combinatorial algorithms
Near linear-work parallel SDD solvers, low-diameter decomposition, and low-stretch subgraphs
Proceedings of the twenty-third annual ACM symposium on Parallelism in algorithms and architectures
Proceedings of the twenty-third annual ACM symposium on Parallelism in algorithms and architectures
Minor-embedding in adiabatic quantum computation: II. Minor-universal graph design
Quantum Information Processing
On fault-tolerant embedding of meshes and tori in a flexible hypercube with unbounded expansion
WSEAS TRANSACTIONS on SYSTEMS
An optimal hidden-surface algorithm and its parallelization
ICCSA'11 Proceedings of the 2011 international conference on Computational science and its applications - Volume Part III
Regular connected bipancyclic spanning subgraphs of hypercubes
Computers & Mathematics with Applications
Pancyclicity of OTIS (swapped) networks based on properties of the factor graph
Information Processing Letters
Randomized Shellsort: A Simple Data-Oblivious Sorting Algorithm
Journal of the ACM (JACM)
Edge-bipancyclicity of star graphs with faulty elements
Theoretical Computer Science
Finding a hamiltonian cycle in a hierarchical dual-net with base network of p -ary q -cube
ICA3PP'11 Proceedings of the 11th international conference on Algorithms and architectures for parallel processing - Volume Part I
Embedding starlike trees into hypercube-like interconnection networks
ISPA'06 Proceedings of the 2006 international conference on Frontiers of High Performance Computing and Networking
The Journal of Supercomputing
Hypercube communications on optical chordal ring networks with chord length of three
ACSAC'06 Proceedings of the 11th Asia-Pacific conference on Advances in Computer Systems Architecture
Fault-Free pairwise independent hamiltonian paths on faulty hypercubes
ACSAC'06 Proceedings of the 11th Asia-Pacific conference on Advances in Computer Systems Architecture
Capturing an intruder in the pyramid
CSR'06 Proceedings of the First international computer science conference on Theory and Applications
Privacy-preserving group data access via stateless oblivious RAM simulation
Proceedings of the twenty-third annual ACM-SIAM symposium on Discrete Algorithms
Towards peta-bit photonic networks
ISPA'05 Proceedings of the Third international conference on Parallel and Distributed Processing and Applications
It’s elementary, my dear watson: time-optimal sorting algorithms on a completely overlapping network
ISPA'05 Proceedings of the Third international conference on Parallel and Distributed Processing and Applications
Systolic routing in an optical fat tree
ISPA'05 Proceedings of the Third international conference on Parallel and Distributed Processing and Applications
The potential of on-chip multiprocessing for QCD machines
HiPC'05 Proceedings of the 12th international conference on High Performance Computing
NPC'05 Proceedings of the 2005 IFIP international conference on Network and Parallel Computing
Atomic routing games on maximum congestion
AAIM'06 Proceedings of the Second international conference on Algorithmic Aspects in Information and Management
A framework for automatic identification of the best checkpoint and recovery protocol
IWDC'04 Proceedings of the 6th international conference on Distributed Computing
A new network topology with multiple three-dimensional meshes
IWDC'04 Proceedings of the 6th international conference on Distributed Computing
Optimal cover time for a graph-based coupon collector process
ICALP'05 Proceedings of the 32nd international conference on Automata, Languages and Programming
An improved algorithm for (non-commutative) reduce-scatter with an application
PVM/MPI'05 Proceedings of the 12th European PVM/MPI users' group conference on Recent Advances in Parallel Virtual Machine and Message Passing Interface
Oblivious vs. distribution-based sorting: an experimental evaluation
ESA'05 Proceedings of the 13th annual European conference on Algorithms
Geodesic pancyclicity and balanced pancyclicity of the generalized base-b hypercube
Discrete Applied Mathematics
Further properties of cayley digraphs and their applications to interconnection networks
TAMC'06 Proceedings of the Third international conference on Theory and Applications of Models of Computation
Topological properties of folded hyper-star networks
The Journal of Supercomputing
Faithful representations of graphs by islands in the extended grid
LATIN'10 Proceedings of the 9th Latin American conference on Theoretical Informatics
JSSPP'04 Proceedings of the 10th international conference on Job Scheduling Strategies for Parallel Processing
Fault-Tolerant cycle embedding in the WK-Recursive network
ISPA'04 Proceedings of the Second international conference on Parallel and Distributed Processing and Applications
Efficient bufferless routing on leveled networks
Euro-Par'05 Proceedings of the 11th international Euro-Par conference on Parallel Processing
Optimal embedding of the hypercube on partitioned optical passive stars networks
Euro-Par'05 Proceedings of the 11th international Euro-Par conference on Parallel Processing
Topology-Based hypercube structures for global communication in heterogeneous networks
Euro-Par'05 Proceedings of the 11th international Euro-Par conference on Parallel Processing
The dragon graph: a new interconnection network for high speed computing
PARA'04 Proceedings of the 7th international conference on Applied Parallel Computing: state of the Art in Scientific Computing
Edge-disjoint hamiltonian cycles of WK-recursive networks
PARA'04 Proceedings of the 7th international conference on Applied Parallel Computing: state of the Art in Scientific Computing
Parallel prefix computation in the recursive dual-net
ICA3PP'10 Proceedings of the 10th international conference on Algorithms and Architectures for Parallel Processing - Volume Part I
Parallel reductions: an application of adaptive algorithm selection
LCPC'02 Proceedings of the 15th international conference on Languages and Compilers for Parallel Computing
Cube connected cycles based bluetooth scatternet formation
ICN'05 Proceedings of the 4th international conference on Networking - Volume Part I
Systolic routing in an optical butterfly
PaCT'05 Proceedings of the 8th international conference on Parallel Computing Technologies
Efficient computation of the lovász theta function for a class of circulant graphs
WG'04 Proceedings of the 30th international conference on Graph-Theoretic Concepts in Computer Science
The Optical Chained-Cubic Tree interconnection network: Topological structure and properties
Computers and Electrical Engineering
Performance analysis of the hierarchical layer graph for wireless networks
ADHOC-NOW'05 Proceedings of the 4th international conference on Ad-Hoc, Mobile, and Wireless Networks
Embedding of cycles in the faulty hypercube
ACSAC'05 Proceedings of the 10th Asia-Pacific conference on Advances in Computer Systems Architecture
Matrix-Star graphs: a new interconnection network based on matrix operations
ACSAC'05 Proceedings of the 10th Asia-Pacific conference on Advances in Computer Systems Architecture
Parceling the butterfly and the batcher sorting network
Theoretical Computer Science
On teaching fast adder designs: revisiting ladner & fischer
Theoretical Computer Science
An optimal scheduling algorithm for an agent-based multicast strategy on irregular networks
GPC'06 Proceedings of the First international conference on Advances in Grid and Pervasive Computing
Analyzing permutation capability of multistage interconnection networks with colored Petri nets
Information Sciences: an International Journal
Jellyfish: networking data centers, randomly
HotCloud'11 Proceedings of the 3rd USENIX conference on Hot topics in cloud computing
Analyzing disturbed diffusion on networks
ISAAC'06 Proceedings of the 17th international conference on Algorithms and Computation
Parameterised multiparty session types
FOSSACS'10 Proceedings of the 13th international conference on Foundations of Software Science and Computational Structures
Edge-bipancyclicity of all conditionally faulty hypercubes
ICA3PP'10 Proceedings of the 10th international conference on Algorithms and Architectures for Parallel Processing - Volume Part II
International Journal of Computer Applications in Technology
Continuous monitoring in the dynamic sensor field model
ALGOSENSORS'11 Proceedings of the 7th international conference on Algorithms for Sensor Systems, Wireless Ad Hoc Networks and Autonomous Mobile Entities
DPillar: Dual-port server interconnection network for large scale data centers
Computer Networks: The International Journal of Computer and Telecommunications Networking
Faster bit-parallel algorithms for unordered pseudo-tree matching and tree homeomorphism
Journal of Discrete Algorithms
Testing connectivity of faulty networks in sublinear time
Journal of Discrete Algorithms
Formal specification of interconnection networks
FP'95 Proceedings of the 1995 international conference on Functional Programming
Jellyfish: networking data centers randomly
NSDI'12 Proceedings of the 9th USENIX conference on Networked Systems Design and Implementation
Computer generation of streaming sorting networks
Proceedings of the 49th Annual Design Automation Conference
Embedding a mesh of trees in the crossed cube
Information Processing Letters
Modified tree structure for location management in mobile environments
Computer Communications
Random walks and bisections in random circulant graphs
LATIN'12 Proceedings of the 10th Latin American international conference on Theoretical Informatics
Mutually independent hamiltonian cycles of binary wrapped butterfly graphs
Mathematical and Computer Modelling: An International Journal
A mathematical model of a mesh system and its implementation
Mathematical and Computer Modelling: An International Journal
One-to-many node-disjoint paths of hyper-star networks
Discrete Applied Mathematics
FREP: Energy proportionality for disk storage using replication
Journal of Parallel and Distributed Computing
An analytical model for the performance of buffered multicast banyan networks
Computer Communications
Survey: Computational models for networks of tiny artifacts: A survey
Computer Science Review
Geodesic pancyclicity of twisted cubes
Information Sciences: an International Journal
Bisection (band)width of product networks with application to data centers
TAMC'12 Proceedings of the 9th Annual international conference on Theory and Applications of Models of Computation
Design and evaluation of Mesh-of-Tree based Network-on-Chip using virtual channel router
Microprocessors & Microsystems
Flexible LDPC decoder architectures
VLSI Design - Special issue on Flexible Radio Design: Trends and Challenges in Digital Baseband Implementation
Is your permutation algorithm unbiased for n ≠ 2m?
PPAM'11 Proceedings of the 9th international conference on Parallel Processing and Applied Mathematics - Volume Part I
The kissing problem: how to end a gathering when everyone kisses everyone else goodbye
FUN'12 Proceedings of the 6th international conference on Fun with Algorithms
Multiparty session c: safe parallel programming with message optimisation
TOOLS'12 Proceedings of the 50th international conference on Objects, Models, Components, Patterns
Journal of Parallel and Distributed Computing
Deterministic Computations on a PRAM with Static Processor and Memory Faults
Fundamenta Informaticae
On Different Models for Packet Flow in Multistage Interconnection Networks
Fundamenta Informaticae
Linear time construction of a compressed Gray code
European Journal of Combinatorics
An improved reliability bound of a probabilistic parallel integer sorting algorithm
Information Processing Letters
Hamiltonicity and Pancyclicity of binary recursive networks
ISPA'07 Proceedings of the 5th international conference on Parallel and Distributed Processing and Applications
Node-disjoint paths in a level block of generalized hierarchical completely connected networks
Theoretical Computer Science
Continuous monitoring in the dynamic sensor field model
Theoretical Computer Science
Systolic routing in an optical ring with logarithmic shortcuts
HPCC'07 Proceedings of the Third international conference on High Performance Computing and Communications
A lower bound technique for communication on BSP with application to the FFT
Euro-Par'12 Proceedings of the 18th international conference on Parallel Processing
Proceedings of the 4th conference on Innovations in Theoretical Computer Science
F10: a fault-tolerant engineered network
nsdi'13 Proceedings of the 10th USENIX conference on Networked Systems Design and Implementation
Information-theoretic analysis of molecular (co)evolution using graphics processing units
Proceedings of the 3rd international workshop on Emerging computational methods for the life sciences
A class of almost-optimal size-independent parallel prefix circuits
Journal of Parallel and Distributed Computing
Multilayer grid embeddings of iterated line digraphs
CATS '06 Proceedings of the Twelfth Computing: The Australasian Theory Symposium - Volume 51
On excessive index of certain networks
Theoretical Computer Science
Fault isolation and identification in general biswapped networks under the PMC diagnostic model
Theoretical Computer Science
Embedding certain height-balanced trees and complete pm-ary trees into hypercubes
Journal of Discrete Algorithms
Adaptive system-level diagnosis for hypercube multiprocessors using a comparison model
Information Sciences: an International Journal
Fault-tolerant cycle embedding in the faulty hypercubes
Information Sciences: an International Journal
Conditional edge-fault pancyclicity of augmented cubes
Theoretical Computer Science
Hamiltonian cycles in hypercubes with faulty edges
Information Sciences: an International Journal
Cycles embedding on folded hypercubes with faulty nodes
Discrete Applied Mathematics
Disjoint cycles in hypercubes with prescribed vertices in each cycle
Discrete Applied Mathematics
Searching for a black hole in interconnected networks using mobile agents and tokens
Journal of Parallel and Distributed Computing
Testing set proportionality and the Ádám isomorphism of circulant graphs
Journal of Discrete Algorithms
Dense bipartite circulants and their routing via rectangular twisted torus
Discrete Applied Mathematics
On the maximum number of fault-free mutually independent Hamiltonian cycles in the faulty hypercube
Journal of Combinatorial Optimization
A Survey of Parallel and Distributed Algorithms for the Steiner Tree Problem
International Journal of Parallel Programming
A Randomized Parallel Three-Dimensional Convex Hull Algorithm for Coarse-Grained Multicomputers
Theory of Computing Systems
Optimal Tradeoffs Between Size and Slowdown for Universal Parallel Networks
Theory of Computing Systems
On Probabilistic Networks for Selection, Merging, and Sorting
Theory of Computing Systems
Parallel Algorithms for the Circuit Value Update Problem
Theory of Computing Systems
High throughput data center topology design
NSDI'14 Proceedings of the 11th USENIX Conference on Networked Systems Design and Implementation
Hi-index | 0.17 |