The drinking philosophers problem
ACM Transactions on Programming Languages and Systems (TOPLAS) - Lecture notes in computer science Vol. 174
Using Time Instead of Timeout for Fault-Tolerant Distributed Systems.
ACM Transactions on Programming Languages and Systems (TOPLAS)
Optimistic recovery in distributed systems
ACM Transactions on Computer Systems (TOCS)
ACM Transactions on Programming Languages and Systems (TOPLAS)
Describing and analyzing distributed software system designs
ACM Transactions on Programming Languages and Systems (TOPLAS)
Complexity of network synchronization
Journal of the ACM (JACM)
Applications of Byzantine agreement in database systems
ACM Transactions on Database Systems (TODS)
The design and building of Enchère, a distributed electronic marketing system
Communications of the ACM
Consistency in a partitioned network: a survey
ACM Computing Surveys (CSUR)
Correctness proofs of distributed termination algorithms
ACM Transactions on Programming Languages and Systems (TOPLAS) - The MIT Press scientific computation series
ACM Computing Surveys (CSUR) - The MIT Press scientific computation series
A quorum-consensus replication method for abstract data types
ACM Transactions on Computer Systems (TOCS)
Low cost management of replicated data in fault-tolerant distributed systems
ACM Transactions on Computer Systems (TOCS)
ACM Transactions on Computer Systems (TOCS)
ACM Transactions on Database Systems (TODS)
Reliable communication in the presence of failures
ACM Transactions on Computer Systems (TOCS)
Highly available distributed services and fault-tolerant distributed garbage collection
PODC '86 Proceedings of the fifth annual ACM symposium on Principles of distributed computing
Optimistic concurrency control for abstract data types
PODC '86 Proceedings of the fifth annual ACM symposium on Principles of distributed computing
Efficient synchronization of multiprocessors with shared memory
PODC '86 Proceedings of the fifth annual ACM symposium on Principles of distributed computing
Comparing how atomicity mechanisms support replication
ACM SIGOPS Operating Systems Review
Memory access buffering in multiprocessors
ISCA '86 Proceedings of the 13th annual international symposium on Computer architecture
Monitoring distributed systems
ACM Transactions on Computer Systems (TOCS)
Dynamic quorum adjustment for partitioned data
ACM Transactions on Database Systems (TODS)
Optimistic concurrency control for abstract data types
ACM SIGOPS Operating Systems Review
Concurrency versus availability: atomicity mechanisms for replicated data
ACM Transactions on Computer Systems (TOCS)
The information structure of distributed mutual exclusion algorithms
ACM Transactions on Computer Systems (TOCS)
Transaction commitment at minimal communication cost
PODS '87 Proceedings of the sixth ACM SIGACT-SIGMOD-SIGART symposium on Principles of database systems
Correct memory operation of cache-based multiprocessors
ISCA '87 Proceedings of the 14th annual international symposium on Computer architecture
On the reliability of consensus-based fault-tolerant distributed computing systems
ACM Transactions on Computer Systems (TOCS)
A comparison of concurrent languages: a class project
SIGCSE '87 Proceedings of the eighteenth SIGCSE technical symposium on Computer science education
Extending Multiversion Time-Stamping Protocols to Exploit Type Information
IEEE Transactions on Computers
Debugging Parallel Programs with Instant Replay
IEEE Transactions on Computers
Clock synchronization in distributed real-time systems
IEEE Transactions on Computers - Special Issue on Real-Time Systems
Adding time to synchronous process communications
IEEE Transactions on Computers - Special Issue on Real-Time Systems
SOSP '87 Proceedings of the eleventh ACM Symposium on Operating systems principles
Exploiting virtual synchrony in distributed systems
SOSP '87 Proceedings of the eleventh ACM Symposium on Operating systems principles
Appraising fairness in distributed languages
POPL '87 Proceedings of the 14th ACM SIGACT-SIGPLAN symposium on Principles of programming languages
Detection of stable properties in distributed applications
PODC '87 Proceedings of the sixth annual ACM Symposium on Principles of distributed computing
Specifying graceful degradation in distributed systems
PODC '87 Proceedings of the sixth annual ACM Symposium on Principles of distributed computing
Interleaving set temporal logic
PODC '87 Proceedings of the sixth annual ACM Symposium on Principles of distributed computing
Substituting for real time and common knowledge in asynchronous distributed systems
PODC '87 Proceedings of the sixth annual ACM Symposium on Principles of distributed computing
A robust algorithm for mutual exclusion in a computer network
ACM '87 Proceedings of the 1987 Fall Joint Computer Conference on Exploring technology: today and tomorrow
Experimental analysis of layered Ethernet software
ACM '87 Proceedings of the 1987 Fall Joint Computer Conference on Exploring technology: today and tomorrow
Efficient and correct execution of parallel programs that share memory
ACM Transactions on Programming Languages and Systems (TOPLAS)
Consensus in the presence of partial synchrony
Journal of the ACM (JACM)
A relational approach to monitoring complex systems
ACM Transactions on Computer Systems (TOCS)
Issues and approaches to design of real-time database systems
ACM SIGMOD Record - Special Issue on Real-Time Database Systems
Deadlock detection in distributed databases
ACM Computing Surveys (CSUR)
Distributed cooperation with action systems
ACM Transactions on Programming Languages and Systems (TOPLAS)
Efficient synchronization of multiprocessors with shared memory
ACM Transactions on Programming Languages and Systems (TOPLAS)
Single-Site and Distributed Optimistic Protocols for Concurrency Control
IEEE Transactions on Software Engineering
Delay-Independent Design for Distributed Systems
IEEE Transactions on Software Engineering
A network management language for OSI networks
SIGCOMM '88 Symposium proceedings on Communications architectures and protocols
Handling Timing Errors in Distributed Programs
IEEE Transactions on Software Engineering
A mechanism for efficient debugging of parallel programs
PLDI '88 Proceedings of the ACM SIGPLAN 1988 conference on Programming Language design and Implementation
Monitoring and performance measuring distributed systems during operation
SIGMETRICS '88 Proceedings of the 1988 ACM SIGMETRICS conference on Measurement and modeling of computer systems
High level specification of concurrency control in distributed database systems
ICSE '88 Proceedings of the 10th international conference on Software engineering
Resilient Objects in Broadband Networks
IEEE Transactions on Software Engineering
A tree-based algorithm for distributed mutual exclusion
ACM Transactions on Computer Systems (TOCS)
A model for concurrency in nested transactions systems
Journal of the ACM (JACM)
Understanding and verifying distributed algorithms using stratified decomposition
PODC '88 Proceedings of the seventh annual ACM Symposium on Principles of distributed computing
End-to-end communication in unreliable networks
PODC '88 Proceedings of the seventh annual ACM Symposium on Principles of distributed computing
Recovery in distributed systems using asynchronous message logging and checkpointing
PODC '88 Proceedings of the seventh annual ACM Symposium on Principles of distributed computing
Concurrent common knowledge: a new definition of agreement for asynchronous systems
PODC '88 Proceedings of the seventh annual ACM Symposium on Principles of distributed computing
Reliability mechanisms for ADAMS
C3P Proceedings of the third conference on Hypercube concurrent computers and applications - Volume 2
Local atomicity properties: modular concurrency control for abstract data types
ACM Transactions on Programming Languages and Systems (TOPLAS)
Preserving and using context information in interprocess communication
ACM Transactions on Computer Systems (TOCS)
On Lamport's interprocessor communication model
ACM Transactions on Programming Languages and Systems (TOPLAS)
A Heuristically-Aided Algorithm for Mutual Exclusion in Distributed Systems
IEEE Transactions on Computers
DEBL: a knowledge-based language for specifying and debugging distributed programs
Communications of the ACM - Special issue: multiprocessing
Concurrency control in groupware systems
SIGMOD '89 Proceedings of the 1989 ACM SIGMOD international conference on Management of data
Debugging heterogeneous distributed systems using event-based models of behavior
PADD '88 Proceedings of the 1988 ACM SIGPLAN and SIGOPS workshop on Parallel and distributed debugging
Event-based debugging of object/action programs
PADD '88 Proceedings of the 1988 ACM SIGPLAN and SIGOPS workshop on Parallel and distributed debugging
Debugging distributed C programs by real time reply
PADD '88 Proceedings of the 1988 ACM SIGPLAN and SIGOPS workshop on Parallel and distributed debugging
Debugging of heterogeneous parallel systems
PADD '88 Proceedings of the 1988 ACM SIGPLAN and SIGOPS workshop on Parallel and distributed debugging
A mechanism for efficient debugging of parallel programs
PADD '88 Proceedings of the 1988 ACM SIGPLAN and SIGOPS workshop on Parallel and distributed debugging
PADD '88 Proceedings of the 1988 ACM SIGPLAN and SIGOPS workshop on Parallel and distributed debugging
Partial orders for parallel debugging
PADD '88 Proceedings of the 1988 ACM SIGPLAN and SIGOPS workshop on Parallel and distributed debugging
Data path debugging: data-oriented debugging for a concurrent programming language
PADD '88 Proceedings of the 1988 ACM SIGPLAN and SIGOPS workshop on Parallel and distributed debugging
Concurrency in heavily loaded neighborhood-constrained systems
ACM Transactions on Programming Languages and Systems (TOPLAS)
Timestamp-Based Orphan Elimination
IEEE Transactions on Software Engineering
Reasoning About Time in Higher-Level Language Software
IEEE Transactions on Software Engineering
The family of concurrent logic programming languages
ACM Computing Surveys (CSUR)
IEEE Transactions on Computers
The APRAM: incorporating asynchrony into the PRAM model
SPAA '89 Proceedings of the first annual ACM symposium on Parallel algorithms and architectures
A hundred impossibility proofs for distributed computing
Proceedings of the eighth annual ACM Symposium on Principles of distributed computing
Efficient solution to the distributed mutual exclusion problem
Proceedings of the eighth annual ACM Symposium on Principles of distributed computing
Efficient distributed recovery using message logging
Proceedings of the eighth annual ACM Symposium on Principles of distributed computing
An algebraic specification of the partial orders generated by concurrent Ada computations
TRI-Ada '89 Proceedings of the conference on Tri-Ada '89: Ada technology in context: application, development, and deployment
Deterministic execution testing of concurrent Ada programs
TRI-Ada '89 Proceedings of the conference on Tri-Ada '89: Ada technology in context: application, development, and deployment
AMp: a highly parallel atomic multicast protocol
SIGCOMM '89 Symposium proceedings on Communications architectures & protocols
DEBL: a knowledge-based language for specifying and debugging programs
CSC '89 Proceedings of the 17th conference on ACM Annual Computer Science Conference
An algorithm based on queue migration for mutual exclusion in computer networks
CSC '89 Proceedings of the 17th conference on ACM Annual Computer Science Conference
Decentralized mutual exclusion in the presence of link failures
CSC '89 Proceedings of the 17th conference on ACM Annual Computer Science Conference
Dynamic distributed query processing techniques
CSC '89 Proceedings of the 17th conference on ACM Annual Computer Science Conference
Trace Analysis for Conformance and Arbitration Testing
IEEE Transactions on Software Engineering
ACM Computing Surveys (CSUR)
Distributed Checkpointing for Globally Consistent States of Databases
IEEE Transactions on Software Engineering
A distributed deadlock detection algorithm for CSP-like communication
ACM Transactions on Programming Languages and Systems (TOPLAS)
On the execution of parallel programs on multiprocessor systems—a queuing theory approach
Journal of the ACM (JACM)
Apologizing versus asking permission: optimistic concurrency control for abstract data types
ACM Transactions on Database Systems (TODS)
IEEE Transactions on Software Engineering
A Hybrid Monitor for Behavior and Performance Analysis of Distributed Systems
IEEE Transactions on Software Engineering
Memory Access Dependencies in Shared-Memory Multiprocessors
IEEE Transactions on Software Engineering
Data caching issues in an information retrieval system
ACM Transactions on Database Systems (TODS)
The inhibition spectrum and the achievement of causal consistency
PODC '90 Proceedings of the ninth annual ACM symposium on Principles of distributed computing
Lazy replication: exploiting the semantics of distributed services
PODC '90 Proceedings of the ninth annual ACM symposium on Principles of distributed computing
Cost-sensitive analysis of communication protocols
PODC '90 Proceedings of the ninth annual ACM symposium on Principles of distributed computing
A quantitative approach to dynamic networks
PODC '90 Proceedings of the ninth annual ACM symposium on Principles of distributed computing
Early-delivery atomic broadcast
PODC '90 Proceedings of the ninth annual ACM symposium on Principles of distributed computing
Lower bounds for wait-free computation in message-passing systems
PODC '90 Proceedings of the ninth annual ACM symposium on Principles of distributed computing
Making asynchronous parallelism safe for the world
POPL '90 Proceedings of the 17th ACM SIGPLAN-SIGACT symposium on Principles of programming languages
Wait-free data structures in the asynchronous PRAM model
SPAA '90 Proceedings of the second annual ACM symposium on Parallel algorithms and architectures
Implementing fault-tolerant services using the state machine approach: a tutorial
ACM Computing Surveys (CSUR)
An empirical comparison of monitoring algorithms for access anomaly detection
PPOPP '90 Proceedings of the second ACM SIGPLAN symposium on Principles & practice of parallel programming
Modeling concurrency in parallel debugging
PPOPP '90 Proceedings of the second ACM SIGPLAN symposium on Principles & practice of parallel programming
Update Transport: A New Technique for Update Synchronization in Replicated Database Systems
IEEE Transactions on Software Engineering
Coordinating first-order multiparty interactions
POPL '91 Proceedings of the 18th ACM SIGPLAN-SIGACT symposium on Principles of programming languages
Using Larch to Specify Avalon/C++ Objects
IEEE Transactions on Software Engineering
Paradigms for process interaction in distributed programs
ACM Computing Surveys (CSUR)
An efficient and fault-tolerant solution for distributed mutual exclusion
ACM Transactions on Computer Systems (TOCS)
The Imposition of Protocols Over Open Distributed Systems
IEEE Transactions on Software Engineering
Performance analysis of Time Warp with homogeneous processors and exponential task times
SIGMETRICS '91 Proceedings of the 1991 ACM SIGMETRICS conference on Measurement and modeling of computer systems
Race Frontier: reproducing data races in parallel-program debugging
PPOPP '91 Proceedings of the third ACM SIGPLAN symposium on Principles and practice of parallel programming
Optimistic parallelization of communicating sequential processes
PPOPP '91 Proceedings of the third ACM SIGPLAN symposium on Principles and practice of parallel programming
Event-based performance perturbation: a case study
PPOPP '91 Proceedings of the third ACM SIGPLAN symposium on Principles and practice of parallel programming
Debugging Concurrent Ada Programs by Deterministic Execution
IEEE Transactions on Software Engineering
Optimal space distributed move-to-front lists
PODC '91 Proceedings of the tenth annual ACM symposium on Principles of distributed computing
Knowledge in shared memory systems (preliminary version)
PODC '91 Proceedings of the tenth annual ACM symposium on Principles of distributed computing
Exploiting locality in maintaining potential causality
PODC '91 Proceedings of the tenth annual ACM symposium on Principles of distributed computing
Resource bounds for self stabilizing message driven protocols
PODC '91 Proceedings of the tenth annual ACM symposium on Principles of distributed computing
Using process groups to implement failure detection in asynchronous environments
PODC '91 Proceedings of the tenth annual ACM symposium on Principles of distributed computing
Logical Time in Distributed Computing Systems
Computer - Distributed computing systems: separate resources acting as one
Conflict detection tradeoffs for replicated data
ACM Transactions on Database Systems (TODS)
Techniques for debugging parallel programs with flowback analysis
ACM Transactions on Programming Languages and Systems (TOPLAS)
Replica control in distributed systems: as asynchronous approach
SIGMOD '91 Proceedings of the 1991 ACM SIGMOD international conference on Management of data
Detecting data races on weak memory systems
ISCA '91 Proceedings of the 18th annual international symposium on Computer architecture
Concurrent online tracking of mobile users
SIGCOMM '91 Proceedings of the conference on Communications architecture & protocols
A simple taxonomy for distributed mutual exclusion algorithms
ACM SIGOPS Operating Systems Review
ACM SIGOPS Operating Systems Review
Lazy replication: exploiting the semantics of distributed services (extended abstract)
ACM SIGOPS Operating Systems Review
Synchronizing the presentation of multimedia objects-ODA extensions-
ACM SIGOIS Bulletin
Models for performance perturbation analysis
PADD '91 Proceedings of the 1991 ACM/ONR workshop on Parallel and distributed debugging
Core algorithms for autonomous monitoring of distributed systems
PADD '91 Proceedings of the 1991 ACM/ONR workshop on Parallel and distributed debugging
Detecting access anomalies in programs with critical sections
PADD '91 Proceedings of the 1991 ACM/ONR workshop on Parallel and distributed debugging
Debuggable concurrency extensions for standard ML
PADD '91 Proceedings of the 1991 ACM/ONR workshop on Parallel and distributed debugging
Source level debugging of automatically parallelized code
PADD '91 Proceedings of the 1991 ACM/ONR workshop on Parallel and distributed debugging
Restoring consistent global states of distributed computations
PADD '91 Proceedings of the 1991 ACM/ONR workshop on Parallel and distributed debugging
An approach to reducing delays in recognizing distributed event occurrences
PADD '91 Proceedings of the 1991 ACM/ONR workshop on Parallel and distributed debugging
Consistent detection of global predicates
PADD '91 Proceedings of the 1991 ACM/ONR workshop on Parallel and distributed debugging
Hardware-assisted replay of multiprocessor programs
PADD '91 Proceedings of the 1991 ACM/ONR workshop on Parallel and distributed debugging
Communications of the ACM
An Executable Language for Modeling Simple Behavior
IEEE Transactions on Software Engineering
Computer-assisted microanalysis of parallel programs
ACM Transactions on Programming Languages and Systems (TOPLAS)
High-level language debugging for concurrent programs
ACM Transactions on Computer Systems (TOCS)
Ordered and reliable multicast communication
ACM Transactions on Computer Systems (TOCS)
Lightweight causal and atomic group multicast
ACM Transactions on Computer Systems (TOCS)
STOC '92 Proceedings of the twenty-fourth annual ACM symposium on Theory of computing
Adapting to asynchronous dynamic networks (extended abstract)
STOC '92 Proceedings of the twenty-fourth annual ACM symposium on Theory of computing
A new fault tolerant distributed mutual exclusion algorithm
SAC '92 Proceedings of the 1992 ACM/SIGAPP symposium on Applied computing: technological challenges of the 1990's
Media transports and distributed multimedia flows
SAC '92 Proceedings of the 1992 ACM/SIGAPP symposium on Applied computing: technological challenges of the 1990's
Evaluation of remote backup algorithms for transaction processing systems
SIGMOD '92 Proceedings of the 1992 ACM SIGMOD international conference on Management of data
TOMP a total ordering multicast protocol
ACM SIGOPS Operating Systems Review
About logical clocks for distributed systems
ACM SIGOPS Operating Systems Review
A security risk of depending on synchronized clocks
ACM SIGOPS Operating Systems Review
Elements for a course on the design of distributed algorithms
ACM SIGCSE Bulletin
A paradigm for distributed debugging
CSC '92 Proceedings of the 1992 ACM annual conference on Communications
Leader election in complete networks
PODC '92 Proceedings of the eleventh annual ACM symposium on Principles of distributed computing
A tradeoff between safety and liveness for randomized coordinated attack protocols
PODC '92 Proceedings of the eleventh annual ACM symposium on Principles of distributed computing
Providing high availability using lazy replication
ACM Transactions on Computer Systems (TOCS)
Transparency and awareness in a real-time groupware system
UIST '92 Proceedings of the 5th annual ACM symposium on User interface software and technology
A new way to design causally and totally ordered multicast protocols
ACM SIGOPS Operating Systems Review
Paralex: an environment for parallel programming in distributed systems
ICS '92 Proceedings of the 6th international conference on Supercomputing
Parallelism analyzers for parallel discrete event simulation
ACM Transactions on Modeling and Computer Simulation (TOMACS)
Adaptable concurrency control for atomic data types
ACM Transactions on Computer Systems (TOCS)
Manetho: Transparent Roll Back-Recovery with Low Overhead, Limited Rollback, and Fast Output Commit
IEEE Transactions on Computers - Special issue on fault-tolerant computing
A Case Study of CES: A Distributed Collaborative Editing System Implemented in Argus
IEEE Transactions on Software Engineering - Special issue: specification and analysis of real-time systems
Optimal tracing and replay for debugging message-passing parallel programs
Proceedings of the 1992 ACM/IEEE conference on Supercomputing
Simulating synchronized clocks and common knowledge in distributed systems
Journal of the ACM (JACM)
Distributed timestamp generation in planar lattice networks
ACM Transactions on Computer Systems (TOCS)
Context-based synchronization: an approach beyond semantics for concurrency control
PODS '93 Proceedings of the twelfth ACM SIGACT-SIGMOD-SIGART symposium on Principles of database systems
Causal controversy at Le Mont St.-Michel
ACM SIGOPS Operating Systems Review
A non-blocking transaction data flow graph based approach for replicated data
ACM SIGOPS Operating Systems Review
ACM SIGOPS Operating Systems Review
Deadlock prevention in a distributed database system
ACM SIGMOD Record
The process group approach to reliable distributed computing
Communications of the ACM
Fault tolerance: synchronization of redundancy
ACM SIGOPS Operating Systems Review
Distributed algorithm for K-entries to critical section based on the directed graphs
ACM SIGOPS Operating Systems Review
Optimal clock synchronization under different delay assumptions
PODC '93 Proceedings of the twelfth annual ACM symposium on Principles of distributed computing
Designing algorithms for distributed systems with partially synchronized clocks
PODC '93 Proceedings of the twelfth annual ACM symposium on Principles of distributed computing
Unifying self-stabilization and fault-tolerance
PODC '93 Proceedings of the twelfth annual ACM symposium on Principles of distributed computing
On mutual exclusion in faulty distributed systems
ACM SIGOPS Operating Systems Review
Comparative design validation based on event pattern mappings
DAC '93 Proceedings of the 30th international Design Automation Conference
Causal ordering in reliable group communications
SIGCOMM '93 Conference proceedings on Communications architectures, protocols and applications
Structural complexity and execution efficiency of distributed application protocols
SIGCOMM '93 Conference proceedings on Communications architectures, protocols and applications
Nondeterminancy: testing and debugging in message passing parallel programs
PADD '93 Proceedings of the 1993 ACM/ONR workshop on Parallel and distributed debugging
Optimality of multi-domain protocols
CCS '93 Proceedings of the 1st ACM conference on Computer and communications security
Understanding the limitations of causally and totally ordered communication
SOSP '93 Proceedings of the fourteenth ACM symposium on Operating systems principles
Adaptive message logging for incremental replay of message-passing programs
Proceedings of the 1993 ACM/IEEE conference on Supercomputing
PADD '93 Proceedings of the 1993 ACM/ONR workshop on Parallel and distributed debugging
Detecting relational global predicates in distributed systems
PADD '93 Proceedings of the 1993 ACM/ONR workshop on Parallel and distributed debugging
Detecting atomic sequences of predicates in distributed computations
PADD '93 Proceedings of the 1993 ACM/ONR workshop on Parallel and distributed debugging
Characterizing the accuracy of distributed timestamps
PADD '93 Proceedings of the 1993 ACM/ONR workshop on Parallel and distributed debugging
The Ariadne debugger: scalable application of event-based abstraction
PADD '93 Proceedings of the 1993 ACM/ONR workshop on Parallel and distributed debugging
On-the-fly detection of access anomalies in nested parallel loops
PADD '93 Proceedings of the 1993 ACM/ONR workshop on Parallel and distributed debugging
Parallel programming with control abstraction
ACM Transactions on Programming Languages and Systems (TOPLAS)
Coordinating first-order multiparty interactions
ACM Transactions on Programming Languages and Systems (TOPLAS)
How to securely replicate services
ACM Transactions on Programming Languages and Systems (TOPLAS)
Evaluation of remote backup algorithms for transaction-processing systems
ACM Transactions on Database Systems (TODS)
Testing races in parallel programs with an OtOt strategy
ISSTA '94 Proceedings of the 1994 ACM SIGSOFT international symposium on Software testing and analysis
A General Scheme for Token- and Tree-Based Distributed Mutual Exclusion Algorithms
IEEE Transactions on Parallel and Distributed Systems
Propagation of authorizations in distributed database systems
CCS '94 Proceedings of the 2nd ACM Conference on Computer and communications security
Adaptive commitment for distributed real-time transactions
CIKM '94 Proceedings of the third international conference on Information and knowledge management
To CATOCS or not to CATOCS, that is the …
ACM SIGOPS Operating Systems Review
ARIES/CSA: a method for database recovery in client-server architectures
SIGMOD '94 Proceedings of the 1994 ACM SIGMOD international conference on Management of data
Programming DEC-Alpha based multiprocessors the easy way (extended abstract)
SPAA '94 Proceedings of the sixth annual ACM symposium on Parallel algorithms and architectures
Partial-order transport service for multimedia and other applications
IEEE/ACM Transactions on Networking (TON)
Real time groupware as a distributed system: concurrency control and its effect on the interface
CSCW '94 Proceedings of the 1994 ACM conference on Computer supported cooperative work
A theory of clock synchronization (extended abstract)
STOC '94 Proceedings of the twenty-sixth annual ACM symposium on Theory of computing
Bounded ignorance: a technique for increasing concurrency in a replicated system
ACM Transactions on Database Systems (TODS)
A security architecture for fault-tolerant systems
ACM Transactions on Computer Systems (TOCS) - Special issue on computer architecture
Repeatable and portable message-passing programs
PODC '94 Proceedings of the thirteenth annual ACM symposium on Principles of distributed computing
Global flush communication primitive for inter-process communication
PODC '94 Proceedings of the thirteenth annual ACM symposium on Principles of distributed computing
Uniform actions in asynchronous distributed systems
PODC '94 Proceedings of the thirteenth annual ACM symposium on Principles of distributed computing
Observable clock synchronization extended abstract
PODC '94 Proceedings of the thirteenth annual ACM symposium on Principles of distributed computing
Knowledge, timed precedence and clocks (preliminary report)
PODC '94 Proceedings of the thirteenth annual ACM symposium on Principles of distributed computing
On the coding of dependencies in distributed computations abstract
PODC '94 Proceedings of the thirteenth annual ACM symposium on Principles of distributed computing
Local states in distributed computations: a few relations and formulas
ACM SIGOPS Operating Systems Review
Dynamic fault-tolerant clock synchronization
Journal of the ACM (JACM)
Debugging heterogeneous distributed systems using event-based models of behavior
ACM Transactions on Computer Systems (TOCS)
Local and temporal predicates in distributed systems
ACM Transactions on Programming Languages and Systems (TOPLAS)
Necessary and Sufficient Conditions for Consistent Global Snapshots
IEEE Transactions on Parallel and Distributed Systems
A Distributed System-Level Diagnosis Algorithm for Arbitrary Network Topologies
IEEE Transactions on Computers - Special issue on fault-tolerant computing
IEEE Transactions on Computers - Special issue on fault-tolerant computing
Checkpoint Space Reclamation for Uncoordinated Checkpointing in Message-Passing Systems.
IEEE Transactions on Parallel and Distributed Systems
Testing and Debugging Distributed Programs Using Global Predicates
IEEE Transactions on Software Engineering
Specification and Analysis of System Architecture Using Rapide
IEEE Transactions on Software Engineering - Special issue on software architecture
A Total Ordering Multicast Protocol Using Propagation Trees
IEEE Transactions on Parallel and Distributed Systems
IEEE Transactions on Parallel and Distributed Systems
The SP2 high-performance switch
IBM Systems Journal
Online tracking of mobile users
Journal of the ACM (JACM)
The Totem single-ring ordering and membership protocol
ACM Transactions on Computer Systems (TOCS)
A time-division algorithm for parallel simulation
ACM Transactions on Modeling and Computer Simulation (TOMACS)
Determining the last process to fail
ACM Transactions on Computer Systems (TOCS)
Distributed snapshots: determining global states of distributed systems
ACM Transactions on Computer Systems (TOCS)
Supporting distributed, concurrent, one-way constraints in user interface applications
Proceedings of the 8th annual ACM symposium on User interface and software technology
SIGCOMM '95 Proceedings of the conference on Applications, technologies, architectures, and protocols for computer communication
Things every update replication customer should know (abstract)
SIGMOD '95 Proceedings of the 1995 ACM SIGMOD international conference on Management of data
Hypervisor-based fault tolerance
SOSP '95 Proceedings of the fifteenth ACM symposium on Operating systems principles
Managing update conflicts in Bayou, a weakly connected replicated storage system
SOSP '95 Proceedings of the fifteenth ACM symposium on Operating systems principles
Distributed dynamic channel allocation for mobile computing
Proceedings of the fourteenth annual ACM symposium on Principles of distributed computing
Hypervisor-based fault tolerance
ACM Transactions on Computer Systems (TOCS) - Special issue on operating system principles
Totem: a fault-tolerant multicast group communication system
Communications of the ACM
The Transis approach to high availability cluster communication
Communications of the ACM
Parallel Computing in Networks of Workstations with Paralex
IEEE Transactions on Parallel and Distributed Systems
A Model for Secure Protocols and Their Compositions
IEEE Transactions on Software Engineering
Distributed mutual exclusion on hypercubes
ACM SIGOPS Operating Systems Review
Operating system support for persistent and recoverable computations
Communications of the ACM
An online computation of critical path profiling
SPDT '96 Proceedings of the SIGMETRICS symposium on Parallel and distributed tools
Event and state-based debugging in TAU: a prototype
SPDT '96 Proceedings of the SIGMETRICS symposium on Parallel and distributed tools
Debugging race conditions in message-passing programs
SPDT '96 Proceedings of the SIGMETRICS symposium on Parallel and distributed tools
Event graph visualization for debugging large applications
SPDT '96 Proceedings of the SIGMETRICS symposium on Parallel and distributed tools
Time management in the DoD high level architecture
PADS '96 Proceedings of the tenth workshop on Parallel and distributed simulation
A unified approach to fault-tolerance in communication protocols based on recovery procedures
IEEE/ACM Transactions on Networking (TON)
Low-Cost Checkpointing and Failure Recovery in Mobile Computing Systems
IEEE Transactions on Parallel and Distributed Systems
Value-density algorithms for the deliberation-scheduling problem
ACM SIGART Bulletin
Comparing models of computation
Proceedings of the 1996 IEEE/ACM international conference on Computer-aided design
Implementing Fail-Silent Nodes for Distributed Systems
IEEE Transactions on Computers
Detection of Strong Unstable Predicates in Distributed Programs
IEEE Transactions on Parallel and Distributed Systems
Trade-offs in implementing causal message logging protocols
PODC '96 Proceedings of the fifteenth annual ACM symposium on Principles of distributed computing
Efficient message ordering in dynamic networks
PODC '96 Proceedings of the fifteenth annual ACM symposium on Principles of distributed computing
On strong-feasibilities of equivalence-completions
PODC '96 Proceedings of the fifteenth annual ACM symposium on Principles of distributed computing
Optimistic Crash Recovery without Changing Application Messages
IEEE Transactions on Parallel and Distributed Systems
Cohorts Structures for Fault-Tolerant k Entries to a Critical Section
IEEE Transactions on Computers
Causal Ordering in Distributed Mobile Systems
IEEE Transactions on Computers - Special issue on mobile computing
IEEE Transactions on Parallel and Distributed Systems
Distributed termination detection for dynamic systems
Parallel Computing
A hardware-based performance monitor for the Intel iPSC/2 hypercube
ICS '90 Proceedings of the 4th international conference on Supercomputing
Distributed software engineering
ICSE '94 Proceedings of the 16th international conference on Software engineering
Heterogeneous Simulation—Mixing Discrete-Event Models with Dataflow
Journal of VLSI Signal Processing Systems - Special issue on the rapid prototyping of application specific signal processors (RASSP) program
Specifying and using a partitionable group communication service
PODC '97 Proceedings of the sixteenth annual ACM symposium on Principles of distributed computing
Lazy consistency using loosely synchronized clocks
PODC '97 Proceedings of the sixteenth annual ACM symposium on Principles of distributed computing
Automatic detection of errors in distributed systems
CSC '95 Proceedings of the 1995 ACM 23rd annual conference on Computer science
Recovery Analysis of Data Sharing Systems under Deferred Dirty Page Propagation Policies
IEEE Transactions on Parallel and Distributed Systems
Epidemic algorithms in replicated databases (extended abstract)
PODS '97 Proceedings of the sixteenth ACM SIGACT-SIGMOD-SIGART symposium on Principles of database systems
Protocols for Integrity Constraint Checking in FederatedDatabases
Distributed and Parallel Databases
Eraser: a dynamic data race detector for multithreaded programs
ACM Transactions on Computer Systems (TOCS)
Eraser: a dynamic data race detector for multi-threaded programs
Proceedings of the sixteenth ACM symposium on Operating systems principles
Flexible update propagation for weakly consistent replication
Proceedings of the sixteenth ACM symposium on Operating systems principles
GROUP '97 Proceedings of the international ACM SIGGROUP conference on Supporting group work: the integration challenge
Serialization of concurrent operations in a distributed collaborative environment
GROUP '97 Proceedings of the international ACM SIGGROUP conference on Supporting group work: the integration challenge
A design framework for Internet-scale event observation and notification
ESEC '97/FSE-5 Proceedings of the 6th European SOFTWARE ENGINEERING conference held jointly with the 5th ACM SIGSOFT international symposium on Foundations of software engineering
A framework for performance analysis of parallel discrete event simulators
Proceedings of the 29th conference on Winter simulation
Optimistic distributed simulation based on transitive dependency tracking
Proceedings of the eleventh workshop on Parallel and distributed simulation
The dark side of risk (what your mother never told you about Time Warp)
Proceedings of the eleventh workshop on Parallel and distributed simulation
Debugging distributed applications with replay capabilities
Proceedings of the conference on TRI-Ada '97
A fault-tolerant dynamic triangular mesh protocol for distributed mutual exclusion
ACM SIGOPS Operating Systems Review
Clock Trees: Logical Clocks for Programs with Nested Parallelism
IEEE Transactions on Software Engineering
Wireless Networks - Special issue: mobile computing and networking: selected papers from MobiCom '96
Implementing sequentially consistent shared objects using broadcast and point-to-point communication
Journal of the ACM (JACM)
ACM Transactions on Computer-Human Interaction (TOCHI)
A protocol for causally ordered message delivery in mobile computing systems
Mobile Networks and Applications - Special issue on personal communications services
A Note on Total Ordering Multicast Using Propagation Trees
IEEE Transactions on Parallel and Distributed Systems
Concurrency Control and View Notification Algorithms for Collaborative Replicated Objects
IEEE Transactions on Computers
Lamport clocks: verifying a directory cache-coherence protocol
Proceedings of the tenth annual ACM symposium on Parallel algorithms and architectures
Persistent messages in local transactions
PODC '98 Proceedings of the seventeenth annual ACM symposium on Principles of distributed computing
An adaptive totally ordered multicast protocol that tolerates partitions
PODC '98 Proceedings of the seventeenth annual ACM symposium on Principles of distributed computing
Scalable on-the-fly detection of the first races in parallel programs
ICS '98 Proceedings of the 12th international conference on Supercomputing
Fault-tolerant distributed simulation
PADS '98 Proceedings of the twelfth workshop on Parallel and distributed simulation
An analysis-based approach to composition of distributed embedded systems
Proceedings of the 6th international workshop on Hardware/software codesign
The Totem multiple-ring ordering and topology maintenance protocol
ACM Transactions on Computer Systems (TOCS)
ACM Transactions on Computer Systems (TOCS)
Webs of Archived Distributed Computations for Asynchronous Collaboration
The Journal of Supercomputing - Special issue: high performance distributed computing
Experimental evaluation of on-line techniques for removing monitoring intrusion
SPDT '98 Proceedings of the SIGMETRICS symposium on Parallel and distributed tools
Using cause-effect analysis to understand the performance of distributed programs
SPDT '98 Proceedings of the SIGMETRICS symposium on Parallel and distributed tools
Retrospective: weak ordering—a new definition
25 years of the international symposia on Computer architecture (selected papers)
Memory access buffering in multiprocessors
25 years of the international symposia on Computer architecture (selected papers)
Weak ordering—a new definition
25 years of the international symposia on Computer architecture (selected papers)
A distributed garbage collector with diffusion tree reorganisation and mobile objects
ICFP '98 Proceedings of the third ACM SIGPLAN international conference on Functional programming
Operational transformation in real-time group editors: issues, algorithms, and achievements
CSCW '98 Proceedings of the 1998 ACM conference on Computer supported cooperative work
IEEE Transactions on Parallel and Distributed Systems
Critical Path Profiling of Message Passing and Shared-Memory Programs
IEEE Transactions on Parallel and Distributed Systems
Garbage collecting the Internet: a survey of distributed garbage collection
ACM Computing Surveys (CSUR)
Unsynchronized parallel discrete event simulation
Proceedings of the 30th conference on Winter simulation
An evaluation of flow control in group communication
IEEE/ACM Transactions on Networking (TON)
Practical Byzantine fault tolerance
OSDI '99 Proceedings of the third symposium on Operating systems design and implementation
Client-Access Protocols for Replicated Services
IEEE Transactions on Software Engineering
A Positive Acknowledgment Protocol for Causal Broadcasting
IEEE Transactions on Computers
Data-valued partitioning and virtual messages (extended abstract)
PODS '90 Proceedings of the ninth ACM SIGACT-SIGMOD-SIGART symposium on Principles of database systems
A novel checkpointing scheme for distributed database systems
PODS '90 Proceedings of the ninth ACM SIGACT-SIGMOD-SIGART symposium on Principles of database systems
On Coordinated Checkpointing in Distributed Systems
IEEE Transactions on Parallel and Distributed Systems
An Index-Based Checkpointing Algorithm for Autonomous Distributed Systems
IEEE Transactions on Parallel and Distributed Systems
Multicast snooping: a new coherence method using a multicast address network
ISCA '99 Proceedings of the 26th annual international symposium on Computer architecture
Design challenges of virtual networks: fast, general-purpose communication
Proceedings of the seventh ACM SIGPLAN symposium on Principles and practice of parallel programming
Bit complexity of breaking and achieving symmetry in chains and rings (extended abstract)
STOC '99 Proceedings of the thirty-first annual ACM symposium on Theory of computing
Optimal and efficient clock synchronization under drifting clocks
Proceedings of the eighteenth annual ACM symposium on Principles of distributed computing
Rollback-dependency trackability: visible characterizations
Proceedings of the eighteenth annual ACM symposium on Principles of distributed computing
Timed consistency for shared distributed objects
Proceedings of the eighteenth annual ACM symposium on Principles of distributed computing
On event ordering in parallel discrete event simulation
PADS '99 Proceedings of the thirteenth workshop on Parallel and distributed simulation
Exploiting temporal uncertainty in parallel and distributed simulations
PADS '99 Proceedings of the thirteenth workshop on Parallel and distributed simulation
An assessment of the ModSim/TWOS parallel simulation environment
WSC '91 Proceedings of the 23rd conference on Winter simulation
A system-level specification framework for I/O architectures
Proceedings of the eleventh annual ACM symposium on Parallel algorithms and architectures
Hybrid concurrency control for abstract data types
Proceedings of the seventh ACM SIGACT-SIGMOD-SIGART symposium on Principles of database systems
Event-Based Techniques to Debug an Object Request Broker
The Journal of Supercomputing
Fundamentals of fault-tolerant distributed computing in asynchronous environments
ACM Computing Surveys (CSUR)
Quasi-Synchronous Checkpointing: Models, Characterization, and Classification
IEEE Transactions on Parallel and Distributed Systems
Time modeling in office information systems
SIGMOD '85 Proceedings of the 1985 ACM SIGMOD international conference on Management of data
Timestamp based certification schemes for transactions in distributed database systems
SIGMOD '85 Proceedings of the 1985 ACM SIGMOD international conference on Management of data
Correcting execution of distributed queries
DPDS '90 Proceedings of the second international symposium on Databases in parallel and distributed systems
Proceedings of the seventeenth ACM symposium on Operating systems principles
The stream machine: a data flow architecture for real-time applications
ICSE '85 Proceedings of the 8th international conference on Software engineering
Read-only transactions in a distributed database
ACM Transactions on Database Systems (TODS)
Transactions and consistency in distributed database systems
ACM Transactions on Database Systems (TODS)
Multiversion concurrency control—theory and algorithms
ACM Transactions on Database Systems (TODS)
Concurrency control in a system for distributed databases (SDD-1)
ACM Transactions on Database Systems (TODS)
Communication-Induced Determination of Consistent Snapshots
IEEE Transactions on Parallel and Distributed Systems
Reliability mechanisms for SDD-1: a system for distributed databases
ACM Transactions on Database Systems (TODS)
Decentralized Simulation of Resource Managers
Journal of the ACM (JACM)
Locality in distributed computations
CSC '88 Proceedings of the 1988 ACM sixteenth annual conference on Computer science
Comparing how atomicity mechanisms support replication
Proceedings of the fourth annual ACM symposium on Principles of distributed computing
Proceedings of the fourth annual ACM symposium on Principles of distributed computing
Replication and fault-tolerance in the ISIS system
Proceedings of the tenth ACM symposium on Operating systems principles
SIGAda '85 Proceedings of the 1985 annual ACM SIGAda international conference on Ada
Checkpointing and rollback-recovery for distributed systems
ACM '86 Proceedings of 1986 ACM Fall joint computer conference
CARAT: a testbed for the performance evaluation of distributed database systems
ACM '86 Proceedings of 1986 ACM Fall joint computer conference
Weak ordering—a new definition
ISCA '90 Proceedings of the 17th annual international symposium on Computer Architecture
Optimistic parallel simulation over a network of workstations
Proceedings of the 31st conference on Winter simulation: Simulation---a bridge to the future - Volume 2
Statically Safe Speculative Execution for Real-Time Systems
IEEE Transactions on Software Engineering
Visputer — an Occam program visualisation tool
SAC '94 Proceedings of the 1994 ACM symposium on Applied computing
Design of a Linda kernal using causal multicast
SAC '94 Proceedings of the 1994 ACM symposium on Applied computing
A synchronization algorithm for distributed systems
CSC '91 Proceedings of the 19th annual conference on Computer Science
CSC '91 Proceedings of the 19th annual conference on Computer Science
An approach towards distributed simulation of timed petri nets
WSC' 90 Proceedings of the 22nd conference on Winter simulation
WSC' 90 Proceedings of the 22nd conference on Winter simulation
Mu3D: a causal consistency protocol for a collaborative VRML editor
VRML '00 Proceedings of the fifth symposium on Virtual reality modeling language (Web3D-VRML)
Token based solutions to M resources allocation problem
SAC '97 Proceedings of the 1997 ACM symposium on Applied computing
A formal method to prove ordering properties of multicast systems
ACM SIGOPS Operating Systems Review
Discerning behavioral properties by analyzing transaction logs (extended abstract)
SAC '00 Proceedings of the 2000 ACM symposium on Applied computing - Volume 1
Safe timestamps and large-scale modeling
PADS '00 Proceedings of the fourteenth workshop on Parallel and distributed simulation
Pre-sampling as an approach for exploiting temporal uncertainty
PADS '00 Proceedings of the fourteenth workshop on Parallel and distributed simulation
Towards a taxonomy of software connectors
Proceedings of the 22nd international conference on Software engineering
Implementing a caching service a distributed COBRA objects
IFIP/ACM International Conference on Distributed systems platforms
On the interconnection of causal memory systems
Proceedings of the nineteenth annual ACM symposium on Principles of distributed computing
1/k phase stamping for continuous shared data (extended abstract)
Proceedings of the nineteenth annual ACM symposium on Principles of distributed computing
Debugging distributed programs using controlled re-execution
Proceedings of the nineteenth annual ACM symposium on Principles of distributed computing
Proceedings of the nineteenth annual ACM symposium on Principles of distributed computing
Efficient generation of all regular non-dominated coteries
Proceedings of the nineteenth annual ACM symposium on Principles of distributed computing
Parallel and distributed VHDL simulation
DATE '00 Proceedings of the conference on Design, automation and test in Europe
A performance study of a distributed algorithm for dynamic channel allocation
Proceedings of the 3rd ACM international workshop on Modeling, analysis and simulation of wireless and mobile systems
Critical path analysis of TCP transactions
Proceedings of the conference on Applications, Technologies, Architectures, and Protocols for Computer Communication
A Fair Distributed Mutual Exclusion Algorithm
IEEE Transactions on Parallel and Distributed Systems
Execution-driven performance analysis for distributed and parallel systems
Proceedings of the 2nd international workshop on Software and performance
Web-based network analysis and design
ACM Transactions on Modeling and Computer Simulation (TOMACS)
Policies for using replica groups and their effectiveness over the Internet
COMM '00 Proceedings of NGC 2000 on Networked group communication
A meta model and an infrastructure for the non-transparent replication of object databases
Proceedings of the ninth international conference on Information and knowledge management
ACM Transactions on Computer Systems (TOCS)
Phase Clocks for Transient Fault Repair
IEEE Transactions on Parallel and Distributed Systems
A Survey of Techniques for Synchronization and Recovery in Decentralized Computer Systems
ACM Computing Surveys (CSUR)
Concurrency Control in Distributed Database Systems
ACM Computing Surveys (CSUR)
A New Approach to Proving the Correctness of Multiprocess Programs
ACM Transactions on Programming Languages and Systems (TOPLAS)
Synchronization in Distributed Programs
ACM Transactions on Programming Languages and Systems (TOPLAS)
Implementing atomic actions on decentralized data
ACM Transactions on Computer Systems (TOCS)
Distributed deadlock detection
ACM Transactions on Computer Systems (TOCS)
Fail-stop processors: an approach to designing fault-tolerant computing systems
ACM Transactions on Computer Systems (TOCS)
A key distribution protocol using event markers
ACM Transactions on Computer Systems (TOCS)
Byzantine generals in action: implementing fail-stop processors
ACM Transactions on Computer Systems (TOCS)
Ordering subscribers on cable networks
ACM Transactions on Computer Systems (TOCS)
The computational metaphor and quantum physics
Communications of the ACM
An optimal algorithm for mutual exclusion in computer networks
Communications of the ACM
Copies convergence in a distributed real-time collaborative environment
CSCW '00 Proceedings of the 2000 ACM conference on Computer supported cooperative work
Consistency in replicated continuous interactive media
CSCW '00 Proceedings of the 2000 ACM conference on Computer supported cooperative work
Synchronization with eventcounts and sequencers
Communications of the ACM
Simultaneous events and lookahead in simulation protocols
ACM Transactions on Modeling and Computer Simulation (TOMACS)
A new approach to developing and implementing eager database replication protocols
ACM Transactions on Database Systems (TODS)
From trace generation to visualization: a performance framework for distributed parallel systems
Proceedings of the 2000 ACM/IEEE conference on Supercomputing
Mutable Checkpoints: A New Checkpointing Approach for Mobile Computing Systems
IEEE Transactions on Parallel and Distributed Systems
An efficient algorithm for causal messages ordering
Proceedings of the 2001 ACM symposium on Applied computing
A new distributed mutual exclusion algorithm for two groups
Proceedings of the 2001 ACM symposium on Applied computing
A causality based time management mechanism for federated simulation
Proceedings of the fifteenth workshop on Parallel and distributed simulation
Causality representation and cancellation mechanism in time warp simulations
Proceedings of the fifteenth workshop on Parallel and distributed simulation
Specifying and using a partitionable group communication service
ACM Transactions on Computer Systems (TOCS)
Proceedings of the thirteenth annual ACM symposium on Parallel algorithms and architectures
An efficient approach for distributed channel allocation in cellular mobile networks
DIALM '01 Proceedings of the 5th international workshop on Discrete algorithms and methods for mobile computing and communications
A Tool to Help Tune where Computation Is Performed
IEEE Transactions on Software Engineering
Consensus-based fault-tolerant total order multicast
IEEE Transactions on Parallel and Distributed Systems
Technical correspondence: on message passes in computer networks.
Communications of the ACM
technical correspondence: on message passes in computer networks. author's response
Communications of the ACM
Critical path analysis of TCP transactions
IEEE/ACM Transactions on Networking (TON)
Lamport on mutual exclusion: 27 years of planting seeds
Proceedings of the twentieth annual ACM symposium on Principles of distributed computing
String realizers of posets with applications to distributed computing
Proceedings of the twentieth annual ACM symposium on Principles of distributed computing
Consistency control for distributed interactive media
MULTIMEDIA '01 Proceedings of the ninth ACM international conference on Multimedia
Localized algorithms in wireless ad-hoc networks: location discovery and sensor exposure
MobiHoc '01 Proceedings of the 2nd ACM international symposium on Mobile ad hoc networking & computing
Time synchronization in ad hoc networks
MobiHoc '01 Proceedings of the 2nd ACM international symposium on Mobile ad hoc networking & computing
The JEDI Event-Based Infrastructure and Its Application to the Development of the OPSS WFMS
IEEE Transactions on Software Engineering
Techniques to Tackle State Explosion in Global Predicate Detection
IEEE Transactions on Software Engineering
BASE: using abstraction to improve fault tolerance
SOSP '01 Proceedings of the eighteenth ACM symposium on Operating systems principles
Group communication specifications: a comprehensive study
ACM Computing Surveys (CSUR)
A distributed mutual exclusion solution derived from real-life examples
ACM-SE 30 Proceedings of the 30th annual Southeast regional conference
Speeding up distributed simulation using the time warp mechanism
EW 2 Proceedings of the 2nd workshop on Making distributed systems work
Modeling software architectures in the Unified Modeling Language
ACM Transactions on Software Engineering and Methodology (TOSEM)
Lazy replication: exploiting the semantics of distributed services
EW 4 Proceedings of the 4th workshop on ACM SIGOPS European workshop
EW 4 Proceedings of the 4th workshop on ACM SIGOPS European workshop
A formalism for fault-tolerant applications in asynchronous systems
EW 4 Proceedings of the 4th workshop on ACM SIGOPS European workshop
A new approach to structuring distributed applications using hierarchical worlds
EW 4 Proceedings of the 4th workshop on ACM SIGOPS European workshop
OOPSLA '01 Proceedings of the 16th ACM SIGPLAN conference on Object-oriented programming, systems, languages, and applications
A Reliable Multicast Protocol for Distributed Mobile Systems: Design and Evaluation
IEEE Transactions on Parallel and Distributed Systems
Causal memory meets the consistency and performance needs of distributed applications!
EW 6 Proceedings of the 6th workshop on ACM SIGOPS European workshop: Matching operating systems to application needs
How to avoid the cost of causal communication in large-scale systems
EW 6 Proceedings of the 6th workshop on ACM SIGOPS European workshop: Matching operating systems to application needs
Consistency maintenance in real-time collaborative graphics editing systems
ACM Transactions on Computer-Human Interaction (TOCHI)
Bounded concurrent timestamp systems using vector clocks
Journal of the ACM (JACM)
Partial order relations in distributed object environments
ACM SIGOPS Operating Systems Review
Making serial number based authentication robust against loss of state
ACM SIGOPS Operating Systems Review
A Delay-Optimal Quorum-Based Mutual Exclusion Algorithm for Distributed Systems
IEEE Transactions on Parallel and Distributed Systems
Operating system level support for coherence in distributed systems
EW 5 Proceedings of the 5th workshop on ACM SIGOPS European workshop: Models and paradigms for distributed systems structuring
Large causality: ordering broadcasts and messages
EW 5 Proceedings of the 5th workshop on ACM SIGOPS European workshop: Models and paradigms for distributed systems structuring
Synchronization as a framework for distributed system fault-tolerance design
EW 5 Proceedings of the 5th workshop on ACM SIGOPS European workshop: Models and paradigms for distributed systems structuring
Causality in distributed systems
EW 5 Proceedings of the 5th workshop on ACM SIGOPS European workshop: Models and paradigms for distributed systems structuring
A distributed algorithm for dynamic channel allocation
Mobile Networks and Applications - Analysis and Design of Multi-Service Wireless Networks
IEEE Transactions on Parallel and Distributed Systems
Efficiently synchronizing multidimensional schema data
Proceedings of the 4th ACM international workshop on Data warehousing and OLAP
Atomic data abstractions in a distributed collaborative editing system
POPL '86 Proceedings of the 13th ACM SIGACT-SIGPLAN symposium on Principles of programming languages
A Formal Specification and Verification Framework for Time Warp-Based Parallel Simulation
IEEE Transactions on Software Engineering
On the scalability of IEEE 802.11 ad hoc networks
Proceedings of the 3rd ACM international symposium on Mobile ad hoc networking & computing
A communication library to support concurrent programming courses
SIGCSE '02 Proceedings of the 33rd SIGCSE technical symposium on Computer science education
On modeling real-time mobile processes
ACSC '02 Proceedings of the twenty-fifth Australasian conference on Computer science - Volume 4
Conservative simulation using distributed-shared memory
Proceedings of the sixteenth workshop on Parallel and distributed simulation
Critical causality in distributed virtual environments
Proceedings of the sixteenth workshop on Parallel and distributed simulation
Tracking immediate predecessors in distributed computations
Proceedings of the fourteenth annual ACM symposium on Parallel algorithms and architectures
Logical Clock Requirements for Reverse Engineering Scenarios from a Distributed System
IEEE Transactions on Software Engineering
Design and evaluation of a conit-based continuous consistency model for replicated services
ACM Transactions on Computer Systems (TOCS)
An efficient synchronization mechanism for mirrored game architectures
NetGames '02 Proceedings of the 1st workshop on Network and system support for games
Application-independent reconciliation for nomadic applications
EW 9 Proceedings of the 9th workshop on ACM SIGOPS European workshop: beyond the PC: new challenges for the operating system
Deferring trust in fluid replication
EW 9 Proceedings of the 9th workshop on ACM SIGOPS European workshop: beyond the PC: new challenges for the operating system
A Distributed Parallel Programming Framework
IEEE Transactions on Software Engineering
The concept of causal-phase ordering for overlapped broadcasts
ACM SIGOPS Operating Systems Review
Dynamic analysis for reverse engineering and program understanding
ACM SIGAPP Applied Computing Review
Lazy Garbage Collection of Recovery State for Fault-Tolerant Distributed Shared Memory
IEEE Transactions on Parallel and Distributed Systems
ACM SIGACT news distributed computing column 5
ACM SIGACT News
A survey of rollback-recovery protocols in message-passing systems
ACM Computing Surveys (CSUR)
Specifying and Verifying a Broadcast and a Multicast Snooping Cache Coherence Protocol
IEEE Transactions on Parallel and Distributed Systems
Transmission scheduling in ad hoc networks with directional antennas
Proceedings of the 8th annual international conference on Mobile computing and networking
COCA: A secure distributed online certification authority
ACM Transactions on Computer Systems (TOCS)
Practical byzantine fault tolerance and proactive recovery
ACM Transactions on Computer Systems (TOCS)
Active disk paxos with infinitely many processes
Proceedings of the twenty-first annual symposium on Principles of distributed computing
Evaluating the running time of a communication round over the internet
Proceedings of the twenty-first annual symposium on Principles of distributed computing
On-the-fly calculation and verification of consistent steering transactions
Proceedings of the 2001 ACM/IEEE conference on Supercomputing
Distributed database concurrency controls using before-values
SIGMOD '81 Proceedings of the 1981 ACM SIGMOD international conference on Management of data
On totally ordering checkpoints in distributed data bases
SIGMOD '82 Proceedings of the 1982 ACM SIGMOD international conference on Management of data
Distributed simulation of asynchronous hardware: the program driven synchronization protocol
Journal of Parallel and Distributed Computing
Undo as concurrent inverse in group editors
ACM Transactions on Computer-Human Interaction (TOCHI)
Efficient Garbage Collection Schemes for Causal Message Logging with Independent Checkpointing
The Journal of Supercomputing
Generalizing operational transformation to the standard general markup language
CSCW '02 Proceedings of the 2002 ACM conference on Computer supported cooperative work
Is byzantine agreement useful in a distributed database?
PODS '84 Proceedings of the 3rd ACM SIGACT-SIGMOD symposium on Principles of database systems
A recovery algorithm for a distributed database system
PODS '83 Proceedings of the 2nd ACM SIGACT-SIGMOD symposium on Principles of database systems
Determining the last process to fail
PODS '83 Proceedings of the 2nd ACM SIGACT-SIGMOD symposium on Principles of database systems
Concurrency control for resilient nested transactions
PODS '83 Proceedings of the 2nd ACM SIGACT-SIGMOD symposium on Principles of database systems
A framework for understanding distributed (deadlock detection) algorithms
PODS '83 Proceedings of the 2nd ACM SIGACT-SIGMOD symposium on Principles of database systems
Sacrificing serializability to attain high availability of data in an unreliable network
PODS '82 Proceedings of the 1st ACM SIGACT-SIGMOD symposium on Principles of database systems
Parallel discrete-event simulation applications
Journal of Parallel and Distributed Computing - Parallel and Distributed Discrete Event Simulation--An Emerging Technology
Analysis and simulation of mixed-technology VLSI Systems
Journal of Parallel and Distributed Computing - Parallel and Distributed Discrete Event Simulation--An Emerging Technology
Quality-based synchronization methods of multimedia objects
Information Sciences—Informatics and Computer Science: An International Journal - Special issue: Intelligent multimedia computing and networking
Modeling concurrent real-time processes using discrete events
Annals of Software Engineering
High-Level Views of Distributed Executions: Convex Abstract Events
Automated Software Engineering
Non-Intrusive Detection of Synchronization Errors Using Execution Replay
Automated Software Engineering
Concurrent single stepping in event-visualization tools
Cluster Computing
A Framework for Predictive Network Management ofPredictive Mobile Networks
Journal of Network and Systems Management
Logical Time in Distributed Software Systems
Programming and Computing Software
Correctness properties in a shared-memory parallel language
Journal of the ACM (JACM)
Simplifying distributed database systems design by using a broadcast network
SIGMOD '84 Proceedings of the 1984 ACM SIGMOD international conference on Management of data
The Journal of Supercomputing
Using Logical Rings to Solve the Distributed Mutual Exclusion Problem with Fault Tolerance Issues
The Journal of Supercomputing - Special issue on embedded fault-tolerance systems
Transactional lock-free execution of lock-based programs
Proceedings of the 10th international conference on Architectural support for programming languages and operating systems
Optional and responsive locking in collaborative graphics editing systems
ACM SIGGROUP Bulletin
The gods must be crazy: a matter of time in collaborative systems
ACM SIGGROUP Bulletin
A Low-Cost Checkpointing Technique for Distributed Databases
Distributed and Parallel Databases
A quorum-based self-stabilizing distributed mutual exclusion algorithm
Journal of Parallel and Distributed Computing
On the minimal information to encode timestamps in distributed computations
Information Processing Letters
Fail-Awareness: An Approach to Construct Fail-Safe Systems
Real-Time Systems
Verifying Compliance with Commitment Protocols
Autonomous Agents and Multi-Agent Systems
Synthesizing Coordination Requirements for Heterogeneous Autonomous Agents
Autonomous Agents and Multi-Agent Systems
Adaptive Message Logging for Incremental Program Replay
IEEE Parallel & Distributed Technology: Systems & Technology
Garf: A Tool for Programming Reliable Distributed Applications
IEEE Parallel & Distributed Technology: Systems & Technology
Creating an Accurate Portrayal of Concurrent Executions
IEEE Concurrency
IEEE Concurrency
Chronological scheduling of transactions with temporal dependencies
The VLDB Journal — The International Journal on Very Large Data Bases
What's Ahead for Embedded Software?
Computer
RMP: Fault-Tolerant Group Communication
IEEE Micro
Visualizing the Performance of Parallel Programs
IEEE Software
Using Visualization Tools to Understand Concurrency
IEEE Software
Fundamentals of Distributed System Observation
IEEE Software
Reliability Analysis in Distributed Systems
IEEE Transactions on Computers
Recovering from Multiple Process Failures in the Time Warp Mechanism
IEEE Transactions on Computers
IEEE Transactions on Computers
Logically Instantaneous Message Passing in Asynchronous Distributed Systems
IEEE Transactions on Computers
Timing Constraint Remapping to Achieve Time Equi-Continuity in Distributed Real-Time Systems
IEEE Transactions on Computers
The Timewheel Group Communication System
IEEE Transactions on Computers
A Model for Adaptable Systems for Transaction Processing
IEEE Transactions on Knowledge and Data Engineering
An Adaptive Checkpointing Scheme for Distributed Databases with Mixed Types of Transactions
IEEE Transactions on Knowledge and Data Engineering
Storage Efficient Replicated Databases
IEEE Transactions on Knowledge and Data Engineering
An Efficient Algorithm for Mutual Exclusion Using Queue Migration in Computer Networks
IEEE Transactions on Knowledge and Data Engineering
Development of a Class of Distributed Termination Detection Algorithms
IEEE Transactions on Knowledge and Data Engineering
Deciding to Correct Distributed Query Processing
IEEE Transactions on Knowledge and Data Engineering
A Nonblocking Transaction Data Flow Graph Based Protocol For Replicated Databases
IEEE Transactions on Knowledge and Data Engineering
IEEE Transactions on Knowledge and Data Engineering
Concurrency Control in Database Systems
IEEE Transactions on Knowledge and Data Engineering
An Architecture for Survivable Coordination in Large Distributed Systems
IEEE Transactions on Knowledge and Data Engineering
The Cost of Recovery in Message Logging Protocols
IEEE Transactions on Knowledge and Data Engineering
Design and Analysis of an Integrated Checkpointing and Recovery Scheme for Distributed Applications
IEEE Transactions on Knowledge and Data Engineering
Load Sharing in Distributed Multimedia-on-Demand Systems
IEEE Transactions on Knowledge and Data Engineering
Consensus-Based Fault-Tolerant Total Order Multicast
IEEE Transactions on Parallel and Distributed Systems
On Group Communication Support in CORBA
IEEE Transactions on Parallel and Distributed Systems
A Resilient Mutual Exclusion Algorithm for Computer Networks
IEEE Transactions on Parallel and Distributed Systems
Specifying Graceful Degradation
IEEE Transactions on Parallel and Distributed Systems
A Nonblocking Quorum Consensus Protocol for Replicated Data
IEEE Transactions on Parallel and Distributed Systems
A Dynamic Information-Structure Mutual Exclusion Algorithm for Distributed Systems
IEEE Transactions on Parallel and Distributed Systems
Systems with Low Distributed Simulation Overhead
IEEE Transactions on Parallel and Distributed Systems
IEEE Transactions on Parallel and Distributed Systems
Checkpointing for Distributed Databases: Starting from the Basics
IEEE Transactions on Parallel and Distributed Systems
JEWEL: Design and Implementation of a Distributed Measurement System
IEEE Transactions on Parallel and Distributed Systems
Access Graphs: A Model for Investigating Memory Consistency
IEEE Transactions on Parallel and Distributed Systems
Performance Evaluation of an Efficient Multiple Copy Update Algorithm
IEEE Transactions on Parallel and Distributed Systems
Detection of Weak Unstable Predicates in Distributed Programs
IEEE Transactions on Parallel and Distributed Systems
Probabilistic Clock Synchronization in Distributed Systems
IEEE Transactions on Parallel and Distributed Systems
Distributed Performance Monitoring: Methods, Tools, and Applications
IEEE Transactions on Parallel and Distributed Systems
Generating and Approximating Nondominated Coteries
IEEE Transactions on Parallel and Distributed Systems
Globally Consistent Event Ordering in One-Directional Distributed Environments
IEEE Transactions on Parallel and Distributed Systems
Finding Consistent Global Checkpoints in a Distributed Computation
IEEE Transactions on Parallel and Distributed Systems
Optional and Responsive Fine-Grain Locking in Internet-Based Collaborative Systems
IEEE Transactions on Parallel and Distributed Systems
On Distributed Dynamic Channel Allocation in Mobile Cellular Networks
IEEE Transactions on Parallel and Distributed Systems
Lazy Garbage Collection of Recovery State for Fault-Tolerant Distributed Shared Memory
IEEE Transactions on Parallel and Distributed Systems
Performance Analysis of Time Warp with Multiple Homogeneous Processors
IEEE Transactions on Software Engineering
IEEE Transactions on Software Engineering
Efficient Detection and Resolution of Generalized Distributed Deadlocks
IEEE Transactions on Software Engineering
Message Logging: Pessimistic, Optimistic, Causal, and Optimal
IEEE Transactions on Software Engineering
Consistency Issues in Distributed Checkpoints
IEEE Transactions on Software Engineering
POEMS: End-to-End Performance Design of Large Parallel Adaptive Computational Systems
IEEE Transactions on Software Engineering
An Efficient Distributed Online Algorithm to Detect Strong Conjunctive Predicates
IEEE Transactions on Software Engineering
Note: an efficient distributed token-based mutual exclusion algorithm with central coordinator
Journal of Parallel and Distributed Computing
Checkpointing with mutable checkpoints
Theoretical Computer Science - Dependable computing
Asynchronous recovery without using vector timestamps
Journal of Parallel and Distributed Computing
ACM SIGACT News
Bounded time-stamping in message-passing systems
Theoretical Computer Science
Bounding the number of segment histories during data race detection
Parallel Computing
Interval consistency of asynchronous distributed computations
Journal of Computer and System Sciences
Perfect Failure Detection in Timed Asynchronous Systems
IEEE Transactions on Computers
Semantically Reliable Multicast: Definition, Implementation, and Performance Evaluation
IEEE Transactions on Computers
Probabilistic Queries in Large-Scale Networks
EDCC-4 Proceedings of the 4th European Dependable Computing Conference on Dependable Computing
Fast Indulgent Consensus with Zero Degradation
EDCC-4 Proceedings of the 4th European Dependable Computing Conference on Dependable Computing
Detection of Orthogonal Interval Relations
HiPC '02 Proceedings of the 9th International Conference on High Performance Computing
Reducing False Causality in Causal Message Ordering
HiPC '00 Proceedings of the 7th International Conference on High Performance Computing
Dynamic Slicing of Concurrent Programs
HiPC '00 Proceedings of the 7th International Conference on High Performance Computing
Evaluation of the Optimal Causal Message Ordering Algorithm
HiPC '00 Proceedings of the 7th International Conference on High Performance Computing
Debugging Large-Scale, Long-Running Parallel Programs
ICCS '02 Proceedings of the International Conference on Computational Science-Part II
Overview of the InterGroup Protocols
ICCS '01 Proceedings of the International Conference on Computational Sciences-Part I
Cyclic Debugging Using Execution Replay
ICCS '01 Proceedings of the International Conference on Computational Science-Part II
DeWiz - Modular Debugging for Supercomputers and Computational Grids
ICCS '01 Proceedings of the International Conference on Computational Science-Part II
Modeling the impact of run-time uncertainty on optimal computation scheduling using feedback
ICPP '97 Proceedings of the international Conference on Parallel Processing
Event Manipulation for Nondeterministic Shared-Memory Programs
HPCN Europe 2001 Proceedings of the 9th International Conference on High-Performance Computing and Networking
Detecting Temporal Logic Predicates on the Happened-Before Model
IPDPS '02 Proceedings of the 16th International Parallel and Distributed Processing Symposium
Capturing Causality by Compressed Vector Clock in Real-Time Group Editors
IPDPS '02 Proceedings of the 16th International Parallel and Distributed Processing Symposium
IPPS '97 Proceedings of the 11th International Symposium on Parallel Processing
Scalable Parallel Program Debugging with Process Isolation and Grouping
IPDPS '02 Proceedings of the 16th International Parallel and Distributed Processing Symposium
Fault-Tolerance for Token-based Synchronization Protocols
IPDPS '01 Proceedings of the 15th International Parallel & Distributed Processing Symposium
IPDPS '01 Proceedings of the 15th International Parallel & Distributed Processing Symposium
Scalable Monitoring Technique for Detecting Races in Parallel Programs
IPDPS '00 Proceedings of the 15 IPDPS 2000 Workshops on Parallel and Distributed Processing
QoS based Checkpoint Protocol in Multimedia Network Systems
IPDPS '01 Proceedings of the 15th International Parallel & Distributed Processing Symposium
Visualizing Mobile Agent Executions
MATA '00 Proceedings of the Second International Workshop on Mobile Agents for Telecommunication Applications
A Practical Method for On-the-Fly Data Race Detection
PARA '02 Proceedings of the 6th International Conference on Applied Parallel Computing Advanced Scientific Computing
Consistent Lamport Clocks for Asynchronous Groups with Process Crashes
PaCT '999 Proceedings of the 5th International Conference on Parallel Computing Technologies
Logically Instantaneous Communication on Top of Distributed Memory Parallel Machines
PaCT '999 Proceedings of the 5th International Conference on Parallel Computing Technologies
A Transaction Processing Model for the Mobile Data Access System
PaCT '01 Proceedings of the 6th International Conference on Parallel Computing Technologies
Mnesia - A Distributed Robust DBMS for Telecommunications Applications
PADL '99 Proceedings of the First International Workshop on Practical Aspects of Declarative Languages
A Component Model for Discrete Event Simulation
PPAM '01 Proceedings of the th International Conference on Parallel Processing and Applied Mathematics-Revised Papers
Distributed Transaction Management in Jasmin
VLDB '84 Proceedings of the 10th International Conference on Very Large Data Bases
Certification by Intervals of Timestamps in Distributed Database Systems
VLDB '84 Proceedings of the 10th International Conference on Very Large Data Bases
Using History Information to Process Delayed Database Updates
VLDB '86 Proceedings of the 12th International Conference on Very Large Data Bases
Toward sofware synthesis for distributed applications
TARK '98 Proceedings of the 7th conference on Theoretical aspects of rationality and knowledge
Reducing Storage for Quorum Consensus Algorithms
VLDB '88 Proceedings of the 14th International Conference on Very Large Data Bases
Two Epoch Algorithms for Disaster Recovery
VLDB '90 Proceedings of the 16th International Conference on Very Large Data Bases
A Transaction Replication Scheme for a Replicated Database with Node Autonomy
VLDB '94 Proceedings of the 20th International Conference on Very Large Data Bases
A Sophisticate's Introduction to Distributed Concurrency Control (Invited Paper)
VLDB '82 Proceedings of the 8th International Conference on Very Large Data Bases
Maintenance of a Spanning Tree in Dynamic Networks
Proceedings of the 13th International Symposium on Distributed Computing
Software Fault Tolerance of Concurrent Programs Using Controlled Re-execution
Proceedings of the 13th International Symposium on Distributed Computing
On the Complexity of the Minimum and Maximum Global Snapshot Problems
COMPSAC '97 Proceedings of the 21st International Computer Software and Applications Conference
The Congenial Talking Philosophers Problem in Computer Networks (Extended Abstract)
Proceedings of the 13th International Symposium on Distributed Computing
DISC '00 Proceedings of the 14th International Conference on Distributed Computing
Optimistic Replication for Internet Data Services
DISC '00 Proceedings of the 14th International Conference on Distributed Computing
Computation Slicing: Techniques and Theory
DISC '01 Proceedings of the 15th International Conference on Distributed Computing
Quorum-Based Algorithms for Group Mutual Exclusion
DISC '01 Proceedings of the 15th International Conference on Distributed Computing
DISC '02 Proceedings of the 16th International Conference on Distributed Computing
Assignment-Based Partitioning in a Condition Monitoring System
DISC '02 Proceedings of the 16th International Conference on Distributed Computing
Guaranteed Mutually Consistent Checkpointing in Distributed Computations
ASIAN '98 Proceedings of the 4th Asian Computing Science Conference on Advances in Computing Science
Shortcut Replay: A Replay Technique for Debugging Long-Running Parallel Programs
ASIAN '02 Proceedings of the7th Asian Computing Science Conference on Advances in Computing Science: Internet Computing and Modeling, Grid Computing, Peer-to-Peer Computing, and Cluster
Supporting System-Level Testing of Applications by Active Real-Time Database Systems
ARTDB '97 Proceedings of the Second International Workshop on Active, Real-Time, and Temporal Database Systems
Intention Preservation by Multi-versioning in Distributed Real-Time Group Editors
EDCIS '02 Proceedings of the First International Conference on Engineering and Deployment of Cooperative Information Systems
A Timed Calculus for Distributed Objects with Clocks
ECOOP '93 Proceedings of the 7th European Conference on Object-Oriented Programming
Interface-Based Protocol Specification of Open Systems using PSL
ECOOP '95 Proceedings of the 9th European Conference on Object-Oriented Programming
Adaptive and Transparent Data Distribution Support for Synchronous Groupware
CRIWG '02 Proceedings of the 8th International Workshop on Groupware: Design, Implementation and Use
Building Groupwares over Duplicated Object Systems
CRIWG '02 Proceedings of the 8th International Workshop on Groupware: Design, Implementation and Use
Mechanical Translation of I/O Automaton Specifications into First-Order Logic
FORTE '02 Proceedings of the 22nd IFIP WG 6.1 International Conference Houston on Formal Techniques for Networked and Distributed Systems
The Logic of Authentication Protocols
FOSAD '00 Revised versions of lectures given during the IFIP WG 1.7 International School on Foundations of Security Analysis and Design on Foundations of Security Analysis and Design: Tutorial Lectures
A Specification and Verification Framework for Developing Weak Shared Memory Consistency Protocols
FMCAD '02 Proceedings of the 4th International Conference on Formal Methods in Computer-Aided Design
Reasoning about Message Passing in Finite State Environments
ICALP '00 Proceedings of the 27th International Colloquium on Automata, Languages and Programming
Decentralized Event Correlation for Intrusion Detection
ICISC '01 Proceedings of the 4th International Conference Seoul on Information Security and Cryptology
Group Protocol for Delivering Requests to Replicas
ICOIN '02 Revised Papers from the International Conference on Information Networking, Wireless Communications Technologies and Network Applications-Part II
Reasoning about Layered Message Passing Systems
VMCAI 2003 Proceedings of the 4th International Conference on Verification, Model Checking, and Abstract Interpretation
Preserving Causality in a Scalable Message-Oriented Middleware
Middleware '01 Proceedings of the IFIP/ACM International Conference on Distributed Systems Platforms Heidelberg
Euro-Par '00 Proceedings from the 6th International Euro-Par Conference on Parallel Processing
Timestamping Algorithms: A Characterization and a Few Properties
Euro-Par '00 Proceedings from the 6th International Euro-Par Conference on Parallel Processing
Self-Organizing Hierarchical Cluster Timestamps
Euro-Par '01 Proceedings of the 7th International Euro-Par Conference Manchester on Parallel Processing
Scalable Causal Message Logging for Wide-Area Environments
Euro-Par '01 Proceedings of the 7th International Euro-Par Conference Manchester on Parallel Processing
Accordion Clocks: Logical Clocks for Data Race Detection
Euro-Par '01 Proceedings of the 7th International Euro-Par Conference Manchester on Parallel Processing
Event List Management in Distributed Simulation
Euro-Par '01 Proceedings of the 7th International Euro-Par Conference Manchester on Parallel Processing
Performance Evaluation of Plausible Clocks
Euro-Par '01 Proceedings of the 7th International Euro-Par Conference Manchester on Parallel Processing
A Self-stabilizing Token-Based k-out-of-l Exclusion Algorithm
Euro-Par '02 Proceedings of the 8th International Euro-Par Conference on Parallel Processing
An Online Algorithm for Dimension-Bound Analysis
Euro-Par '99 Proceedings of the 5th International Euro-Par Conference on Parallel Processing
Illustrating the Use of Vector Clocks in Property Detection: An Example and a Counter-Example
Euro-Par '99 Proceedings of the 5th International Euro-Par Conference on Parallel Processing
Distributed Database Checkpointing
Euro-Par '99 Proceedings of the 5th International Euro-Par Conference on Parallel Processing
Universal Constructs in Distributed Computations
Euro-Par '99 Proceedings of the 5th International Euro-Par Conference on Parallel Processing
Correction of Monitor Intrusion for Testing Nondeterministic MPI-Programs
Euro-Par '99 Proceedings of the 5th International Euro-Par Conference on Parallel Processing
Token-Based Read/Write-Locks for Distributed Mutual Exclusion
Euro-Par '00 Proceedings from the 6th International Euro-Par Conference on Parallel Processing
Localizability of Fairness Constraints and Their Distributed Implementations
CONCUR '99 Proceedings of the 10th International Conference on Concurrency Theory
Integrating Optimistic Virtual Synchrony to a CORBA Object Group Service
On the Move to Meaningful Internet Systems, 2002 - DOA/CoopIS/ODBASE 2002 Confederated International Conferences DOA, CoopIS and ODBASE 2002
A Reflective Middleware Framework for Communication in Dynamic Environments
On the Move to Meaningful Internet Systems, 2002 - DOA/CoopIS/ODBASE 2002 Confederated International Conferences DOA, CoopIS and ODBASE 2002
Directions in Functional Programming for Real(-Time) Applications
EMSOFT '01 Proceedings of the First International Workshop on Embedded Software
Physical Programming: Beyond Mere Logic
EMSOFT '02 Proceedings of the Second International Conference on Embedded Software
A Protocol for Loosely Time-Triggered Architectures
EMSOFT '02 Proceedings of the Second International Conference on Embedded Software
Secure and Efficient Asynchronous Broadcast Protocols
CRYPTO '01 Proceedings of the 21st Annual International Cryptology Conference on Advances in Cryptology
How to Make Replicated Data Secure
CRYPTO '87 A Conference on the Theory and Applications of Cryptographic Techniques on Advances in Cryptology
RT-Objects Based on Temporal Causal Consistency: A New Approach for Fieldbus Systems
ECOOP '97 Proceedings of the Workshops on Object-Oriented Technology
Concurrent Knowledge and Logical Clock Abstractions
FST TCS 2000 Proceedings of the 20th Conference on Foundations of Software Technology and Theoretical Computer Science
An Object-Oriented Time Warp Simulation Kernel
ISCOPE '98 Proceedings of the Second International Symposium on Computing in Object-Oriented Parallel Environments
Protocol Engineering for Multi-agent Interaction
MAAMAW '99 Proceedings of the 9th European Workshop on Modelling Autonomous Agents in a Multi-Agent World: MultiAgent System Engineering
Agreement Problems in Fault-Tolerant Distributed Systems
SOFSEM '01 Proceedings of the 28th Conference on Current Trends in Theory and Practice of Informatics Piestany: Theory and Practice of Informatics
A Recovery Technique Using Multi-agent in Distributed Computing Systems
COORDINATION '02 Proceedings of the 5th International Conference on Coordination Models and Languages
A Comparison of Scalable Labeling Schemes for Detecting Races in OpenMP Programs
WOMPAT '01 Proceedings of the International Workshop on OpenMP Applications and Tools: OpenMP Shared Memory Parallel Programming
Debugging OpenMP Programs Using Event Manipulation
WOMPAT '01 Proceedings of the International Workshop on OpenMP Applications and Tools: OpenMP Shared Memory Parallel Programming
Distributed Transmission Scheduling Using Code-Division Channelization
NETWORKING '02 Proceedings of the Second International IFIP-TC6 Networking Conference on Networking Technologies, Services, and Protocols; Performance of Computer and Communication Networks; and Mobile and Wireless Communications
WET-ICE '97 Proceedings of the 6th Workshop on Enabling Technologies on Infrastructure for Collaborative Enterprises
A Platform Independent Parallelising Tool Based on Graph Theoretic Models
VECPAR '00 Selected Papers and Invited Talks from the 4th International Conference on Vector and Parallel Processing
Secure History Preservation Through Timeline Entanglement
Proceedings of the 11th USENIX Security Symposium
Algorithm Visualization For Distributed Environments
INFOVIS '98 Proceedings of the 1998 IEEE Symposium on Information Visualization
Stabilizing Causal Deterministic Merge
WSS '01 Proceedings of the 5th International Workshop on Self-Stabilizing Systems
NOPE: A Nondeterministic Program Evaluator
ParNum '99 Proceedings of the 4th International ACPC Conference Including Special Tracks on Parallel Numerics and Parallel Computing in Image Processing, Video Processing, and Multimedia: Parallel Computation
Algorithm Animation Systems for Constrained Domains
Revised Lectures on Software Visualization, International Seminar
Recent Advances in Distributed Garbage Collection
Advances in Distributed Systems, Advanced Distributed Computing: From Algorithms to Systems
Topology-Aware Algorithms for Large-Scale Communication
Advances in Distributed Systems, Advanced Distributed Computing: From Algorithms to Systems
Time in Distributed System Models and Algorithms
Advances in Distributed Systems, Advanced Distributed Computing: From Algorithms to Systems
Graph Transformation with Time: Causality and Logical Clocks
ICGT '02 Proceedings of the First International Conference on Graph Transformation
Minimal Size of Piggybacked Information for Tracking Causality: A Graph-Based Characterization
WG '00 Proceedings of the 26th International Workshop on Graph-Theoretic Concepts in Computer Science
A Mobile Agent Enabled Fully Distributed Mutual Exclusion Algorithm
MA '02 Proceedings of the 6th International Conference on Mobile Agents
Using Timestamping and History Variables to Verify Sequential Consistency
CAV '01 Proceedings of the 13th International Conference on Computer Aided Verification
Towards an ASM Thesis for Unconventional Algorithms
ASM '00 Proceedings of the International Workshop on Abstract State Machines, Theory and Applications
A Group-Based Time-Stamping Scheme for the Preservation of Group Intentions
DCW '02 Revised Papers from the 4th International Workshop on Distributed Communities on the Web
DSN '01 Proceedings of the 2001 International Conference on Dependable Systems and Networks (formerly: FTCS)
On Implementation of Logical Time in Distributed Systems Operating over a Wireless IP Network
ICN '01 Proceedings of the First International Conference on Networking-Part 1
InterWeave: A Middleware System for Distributed Shared State
LCR '00 Selected Papers from the 5th International Workshop on Languages, Compilers, and Run-Time Systems for Scalable Computers
Monitor Overhead Measurement of MPI Applications with SKaMPI
Proceedings of the 6th European PVM/MPI Users' Group Meeting on Recent Advances in Parallel Virtual Machine and Message Passing Interface
Efficient Replay of PVM Programs
Proceedings of the 6th European PVM/MPI Users' Group Meeting on Recent Advances in Parallel Virtual Machine and Message Passing Interface
An Integrated Record&Replay Mechanism for Nondeterministic Message Passing Programs
Proceedings of the 8th European PVM/MPI Users' Group Meeting on Recent Advances in Parallel Virtual Machine and Message Passing Interface
Proceedings of the 13th International Symposium on Distributed Computing
A Scalable and Reliable Multicast Communiction Service in Java
IPDPS '02 Proceedings of the 16th International Parallel and Distributed Processing Symposium
DISC '00 Proceedings of the 14th International Conference on Distributed Computing
On Regular Message Sequence Chart Languages and Relationships to Mazurkiewicz Trace Theory
FoSSaCS '01 Proceedings of the 4th International Conference on Foundations of Software Science and Computation Structures
The congenial talking philosophers problem in computer networks
Distributed Computing
Wireless sensor networks: a new regime for time synchronization
ACM SIGCOMM Computer Communication Review
Simulation bridge: a framework for multi-processor simulation
Proceedings of the tenth international symposium on Hardware/software codesign
Growing squares: animated visualization of causal relations
Proceedings of the 2003 ACM symposium on Software visualization
Quorum-Based Algorithms for Group Mutual Exclusion
IEEE Transactions on Parallel and Distributed Systems
A survey of permission-based distributed mutual exclusion algorithms
Computer Standards & Interfaces
Hybrid dynamic data race detection
Proceedings of the ninth ACM SIGPLAN symposium on Principles and practice of parallel programming
Efficient on-the-fly data race detection in multithreaded C++ programs
Proceedings of the ninth ACM SIGPLAN symposium on Principles and practice of parallel programming
Fast detection of communication patterns in distributed executions
CASCON '97 Proceedings of the 1997 conference of the Centre for Advanced Studies on Collaborative research
A framework algorithm for dynamic, centralized dimension-bounded timestamps
CASCON '00 Proceedings of the 2000 conference of the Centre for Advanced Studies on Collaborative research
Single stepping in event-visualization tools
CASCON '96 Proceedings of the 1996 conference of the Centre for Advanced Studies on Collaborative research
CASCON '94 Proceedings of the 1994 conference of the Centre for Advanced Studies on Collaborative research
Integrating real-time and partial-order information in event-data displays
CASCON '94 Proceedings of the 1994 conference of the Centre for Advanced Studies on Collaborative research
A multi-versioning algorithm for intention preservation in distributed real-time group editors
ACSC '03 Proceedings of the 26th Australasian computer science conference - Volume 16
Towards an Algorithmic Debugging for Distributed Programs
APSEC '99 Proceedings of the Sixth Asia Pacific Software Engineering Conference
Debugging in a Distributed World: Observation and Control
ASSET '98 Proceedings of the 1998 IEEE Workshop on Application - Specific Software Engineering and Technology
A Formal Framework and Evaluation Method for Network Denial of Service
CSFW '99 Proceedings of the 12th IEEE workshop on Computer Security Foundations
Optimistic atomic broadcast: a pragmatic viewpoint
Theoretical Computer Science - Special issue: Distributed computing
The Bancomat problem: an example of resource allocation in a partitionable asynchronous system
Theoretical Computer Science - Special issue: Distributed computing
A Fair Fast Distributed Concurrent-Reader Exclusive-Writer Synchronization
FRONTIERS '96 Proceedings of the 6th Symposium on the Frontiers of Massively Parallel Computation
Active logical processes and distributed simulation: An analysis
WSC '83 Proceedings of the 15th conference on Winter simulation - Volume 1
An experiment in microprocessor-based distributed digital simulation
WSC '83 Proceedings of the 15th conference on Winter simulation - Volume 1
A shared resource algorithm for distributed simulation
ISCA '82 Proceedings of the 9th annual symposium on Computer Architecture
Diagnostic system for distributed software: A relational database approach
ICSE '84 Proceedings of the 7th international conference on Software engineering
SIGCOMM '81 Proceedings of the seventh symposium on Data communications
A reliable distributed control algorithm for updating replicated databases
SIGCOMM '79 Proceedings of the sixth symposium on Data communications
Virtual machine-based simulation of distributed computing and network computing
SIGMETRICS '81 Proceedings of the 1981 ACM SIGMETRICS conference on Measurement and modeling of computer systems
Distributed allocation with pools of servers
PODC '82 Proceedings of the first ACM SIGACT-SIGOPS symposium on Principles of distributed computing
On the distribution of an assertion
PODC '82 Proceedings of the first ACM SIGACT-SIGOPS symposium on Principles of distributed computing
Edge locks and deadlock avoidance in distributed systems
PODC '82 Proceedings of the first ACM SIGACT-SIGOPS symposium on Principles of distributed computing
Concurrency control algorithms for multiversion database systems
PODC '82 Proceedings of the first ACM SIGACT-SIGOPS symposium on Principles of distributed computing
A concurrency control theory for nested transactions (Preliminary Report)
PODC '83 Proceedings of the second annual ACM symposium on Principles of distributed computing
Data-dependent concurrency control and recovery (Extended Abstract)
PODC '83 Proceedings of the second annual ACM symposium on Principles of distributed computing
GEM: A tool for concurrency specification and verification
PODC '83 Proceedings of the second annual ACM symposium on Principles of distributed computing
Maintaining the time in a distributed system
PODC '83 Proceedings of the second annual ACM symposium on Principles of distributed computing
A new fault-tolerant algorithm for clock synchronization
PODC '84 Proceedings of the third annual ACM symposium on Principles of distributed computing
Patterns of communication in consensus protocols
PODC '84 Proceedings of the third annual ACM symposium on Principles of distributed computing
Efficient solutions to the replicated log and dictionary problems
PODC '84 Proceedings of the third annual ACM symposium on Principles of distributed computing
Efficient and reliable broadcast is achievable in an eventually connected network(Extended Abstract)
PODC '84 Proceedings of the third annual ACM symposium on Principles of distributed computing
A distributed algorithm for generalized deadlock detection
PODC '84 Proceedings of the third annual ACM symposium on Principles of distributed computing
An approach to testing specifications (Preliminary Draft)
SIGSOFT '83 Proceedings of the ACM SIGSOFT/SIGPLAN software engineering symposium on High-level debugging
Development of a debugger for a concurrent language
SIGSOFT '83 Proceedings of the ACM SIGSOFT/SIGPLAN software engineering symposium on High-level debugging
An approach to high-level debugging of distributed systems (Preliminary Draft)
SIGSOFT '83 Proceedings of the ACM SIGSOFT/SIGPLAN software engineering symposium on High-level debugging
Software for interactive on-line conferences
COCS '84 Proceedings of the second ACM-SIGOA conference on Office information systems
Distributed elections in an archimedean ring of processors
STOC '84 Proceedings of the sixteenth annual ACM symposium on Theory of computing
The Distributed Double-Loop Computer Network (DDLCN)
ACM '80 Proceedings of the ACM 1980 annual conference
Visual parallel programming with Visper
HPC-ASIA '97 Proceedings of the High-Performance Computing on the Information Superhighway, HPC-Asia '97
A world-wide distributed system using Java and the Internet
HPDC '96 Proceedings of the 5th IEEE International Symposium on High Performance Distributed Computing
Distributed supercomputing using ACTS
HPDC '96 Proceedings of the 5th IEEE International Symposium on High Performance Distributed Computing
A framework for visual parallel programming
PAS '95 Proceedings of the First Aizu International Symposium on Parallel Algorithms/Architecture Synthesis
Concurrent rollback for crash recovery in extended hypercube networks
PAS '95 Proceedings of the First Aizu International Symposium on Parallel Algorithms/Architecture Synthesis
Non-blocking concurrency control in distributed database systems
PAS '95 Proceedings of the First Aizu International Symposium on Parallel Algorithms/Architecture Synthesis
A causal message ordering scheme for distributed embedded real-time systems
SRDS '96 Proceedings of the 15th Symposium on Reliable Distributed Systems
Fault Injection Based on a Partial View of the Global State of a Distributed System
SRDS '99 Proceedings of the 18th IEEE Symposium on Reliable Distributed Systems
Information Dissemination in Partitionable Mobile Ad Hoc Networks
SRDS '99 Proceedings of the 18th IEEE Symposium on Reliable Distributed Systems
Group Multicast in Distributed Mobile Systems with Unreliable Wireless Network
SRDS '99 Proceedings of the 18th IEEE Symposium on Reliable Distributed Systems
Object-Based Checkpoints in Distributed Systems
WORDS '97 Proceedings of the 3rd Workshop on Object-Oriented Real-Time Dependable Systems - (WORDS '97)
Object-Based Message Ordering in Group Communication
WORDS '97 Proceedings of the 3rd Workshop on Object-Oriented Real-Time Dependable Systems - (WORDS '97)
A Highly Adaptive Distributed Routing Algorithm for Mobile Wireless Networks
INFOCOM '97 Proceedings of the INFOCOM '97. Sixteenth Annual Joint Conference of the IEEE Computer and Communications Societies. Driving the Information Revolution
Checkpoint and Rollback in Asynchronous Distributed Systems
INFOCOM '97 Proceedings of the INFOCOM '97. Sixteenth Annual Joint Conference of the IEEE Computer and Communications Societies. Driving the Information Revolution
Ivy: a read/write peer-to-peer file system
ACM SIGOPS Operating Systems Review - OSDI '02: Proceedings of the 5th symposium on Operating systems design and implementation
Fine-grained network time synchronization using reference broadcasts
ACM SIGOPS Operating Systems Review - OSDI '02: Proceedings of the 5th symposium on Operating systems design and implementation
Critical path analysis of TCP transactions
ACM SIGCOMM Computer Communication Review - Workshop on data communication in Latin America and the Caribbean
Maintaining the time in a distributed system
ACM SIGOPS Operating Systems Review
Group Communication Protocol for Multimedia Applications
ICCNMC '01 Proceedings of the 2001 International Conference on Computer Networks and Mobile Computing (ICCNMC'01)
Group Protocol for Inter-Object Communications
ICCNMC '01 Proceedings of the 2001 International Conference on Computer Networks and Mobile Computing (ICCNMC'01)
ICDCS '03 Proceedings of the 23rd International Conference on Distributed Computing Systems
Evaluating Distributed Checkpointing Protocol
ICDCS '03 Proceedings of the 23rd International Conference on Distributed Computing Systems
Group Membership and Wide-Area Master-Worker Computations
ICDCS '03 Proceedings of the 23rd International Conference on Distributed Computing Systems
Software Fault Tolerance of Distributed Programs Using Computation Slicing
ICDCS '03 Proceedings of the 23rd International Conference on Distributed Computing Systems
IWOOOS '96 Proceedings of the 5th International Workshop on Object Orientation in Operating Systems (IWOOOS '96)
Distributed algorithm engineering
Experimental algorithmics
BASE: Using abstraction to improve fault tolerance
ACM Transactions on Computer Systems (TOCS)
Debugging shared memory parallel programs using record/replay
Future Generation Computer Systems - Tools for program development and analysis
Error detection in large-scale parallel programs with long runtimes
Future Generation Computer Systems - Tools for program development and analysis
Tree-Based Concurrency Control inDistributed Groupware
Computer Supported Cooperative Work
A Causal-Phase Protocol to Order Soft Real-Time Transactions in a Distributed Database
LCN '01 Proceedings of the 26th Annual IEEE Conference on Local Computer Networks
Proceedings of the twenty-second annual symposium on Principles of distributed computing
Adaptive filters for continuous queries over distributed data streams
Proceedings of the 2003 ACM SIGMOD international conference on Management of data
Fault Tolerance for Off-the-Shelf Applications and Hardware
FTCS '95 Proceedings of the Twenty-Fifth International Symposium on Fault-Tolerant Computing
FTCS '95 Proceedings of the Twenty-Fifth International Symposium on Fault-Tolerant Computing
Fault Tolerance in Safety Critical Automotive Applications: Cost of Agreement as a Limiting Factor
FTCS '95 Proceedings of the Twenty-Fifth International Symposium on Fault-Tolerant Computing
On reducing the complexity of matrix clocks
Parallel Computing
Parallel assignment to distinct identities in arbitrary networks
ICCCN '95 Proceedings of the 4th International Conference on Computer Communications and Networks
On Detecting Global Predicates in Distributed Computations
ICDCS '01 Proceedings of the The 21st International Conference on Distributed Computing Systems
Backoff Protocols for Distributed Mutual Exclusion and Ordering
ICDCS '01 Proceedings of the The 21st International Conference on Distributed Computing Systems
Selective Checkpointing and Rollbacks in Multithreaded Distributed Systems
ICDCS '01 Proceedings of the The 21st International Conference on Distributed Computing Systems
Unifying Stabilization and Termination in Message-Passing Systems
ICDCS '01 Proceedings of the The 21st International Conference on Distributed Computing Systems
A Hierarchical Cluster Algorithm for Dynamic, Centralized Timestamps
ICDCS '01 Proceedings of the The 21st International Conference on Distributed Computing Systems
Developing and Refining an Adaptive Token-Passing Strategy
ICDCS '01 Proceedings of the The 21st International Conference on Distributed Computing Systems
An Analytical Study of Opportunistic Lease Renewal
ICDCS '01 Proceedings of the The 21st International Conference on Distributed Computing Systems
On Slicing a Distributed Computation
ICDCS '01 Proceedings of the The 21st International Conference on Distributed Computing Systems
On the Minimal Characterization of the Rollback-Dependency Trackability Property
ICDCS '01 Proceedings of the The 21st International Conference on Distributed Computing Systems
Enforcing Perfect Failure Detection
ICDCS '01 Proceedings of the The 21st International Conference on Distributed Computing Systems
Fast Reconciliations in Fluid Replication
ICDCS '01 Proceedings of the The 21st International Conference on Distributed Computing Systems
Prioritized Token-Based Mutual Exclusion for Distributed Systems
IPPS '98 Proceedings of the 12th. International Parallel Processing Symposium on International Parallel Processing Symposium
Locality and Performance of Page- and Object-Based DSMs
IPPS '98 Proceedings of the 12th. International Parallel Processing Symposium on International Parallel Processing Symposium
Update Protocols and Iterative Scientific Applications
IPPS '98 Proceedings of the 12th. International Parallel Processing Symposium on International Parallel Processing Symposium
Optimistic Synchronization of Mixed-Mode Simulators
IPPS '98 Proceedings of the 12th. International Parallel Processing Symposium on International Parallel Processing Symposium
Predicate Control for Active Debugging of Distributed Programs
IPPS '98 Proceedings of the 12th. International Parallel Processing Symposium on International Parallel Processing Symposium
Multiversioning and Logging in the Grasshopper Kernel Persistent Store
IWOOOS '95 Proceedings of the 4th International Workshop on Object-Orientation in Operating Systems
On Page-Based Optimistic Process Checkpointing
IWOOOS '95 Proceedings of the 4th International Workshop on Object-Orientation in Operating Systems
Weak-order extensions of an order
Theoretical Computer Science
Record/replay for nondeterministic program executions
Communications of the ACM - Why CS students need math
Verifying Sequential Consistency on Shared-Memory Multiprocessors by Model Checking
IEEE Transactions on Parallel and Distributed Systems
A Fine-Grained Modality Classification for Global Predicates
IEEE Transactions on Parallel and Distributed Systems
On Properties of RDT Communication-Induced Checkpointing Protocols
IEEE Transactions on Parallel and Distributed Systems
Communications of the ACM
Proof of correctness of Ressel's adOPTed algorithm
Information Processing Letters
Efficient Causality-Tracking Timestamping
IEEE Transactions on Knowledge and Data Engineering
Epidemic Algorithms for Replicated Databases
IEEE Transactions on Knowledge and Data Engineering
Distributed algorithm to detect strong conjunctive predicates
Information Processing Letters
SOSP '03 Proceedings of the nineteenth ACM symposium on Operating systems principles
RacerX: effective, static detection of race conditions and deadlocks
SOSP '03 Proceedings of the nineteenth ACM symposium on Operating systems principles
Separating agreement from execution for byzantine fault tolerant services
SOSP '03 Proceedings of the nineteenth ACM symposium on Operating systems principles
ACM SIGACT News distributed computing column 12
ACM SIGACT News
Logical time in visualizations produced by parallel programs
VIS '92 Proceedings of the 3rd conference on Visualization '92
A Timeout-Based Message Ordering Protocol for a Lightweight Software Implementation of TMR Systems
IEEE Transactions on Parallel and Distributed Systems
Performance of the Optimal Causal Multicast Algorithm: A Statistical Analysis
IEEE Transactions on Parallel and Distributed Systems
A brief history of NTP time: memoirs of an Internet timekeeper
ACM SIGCOMM Computer Communication Review
Supporting activity-centric collaboration through peer-to-peer shared objects
GROUP '03 Proceedings of the 2003 international ACM SIGGROUP conference on Supporting group work
Technology for Testing Nondeterministic Client/Server Database Applications
IEEE Transactions on Software Engineering
Point algebras for temporal reasoning: algorithms and complexity
Artificial Intelligence
Efficient event generation for detecting races
CASCON '93 Proceedings of the 1993 conference of the Centre for Advanced Studies on Collaborative research: software engineering - Volume 1
A fair message exchange framework for distributed multi-player games
NetGames '03 Proceedings of the 2nd workshop on Network and system support for games
NetGames '03 Proceedings of the 2nd workshop on Network and system support for games
Distributed recovery with K-optimistic logging
Journal of Parallel and Distributed Computing
Causality tracking in causal message-logging protocols
Distributed Computing
Arbitration-free synchronization
Distributed Computing - Papers in celebration of the 20th anniversary of PODC
Appraising two decades of distributed computing theory research
Distributed Computing - Papers in celebration of the 20th anniversary of PODC
Concurrent computing machines and physical space-time
Mathematical Structures in Computer Science
(h, k)-Arbiters for h-out-of-k mutual exclusion problem
Theoretical Computer Science
Distributed mutual exclusion algorithms in mobile ad hoc networks: an overview
ACM SIGOPS Operating Systems Review
Performance evaluation of hybrid parallel programming paradigms
Performance analysis and grid computing
Multimedia Wireless Interactive and Collaborative Telecom Services
ICDCSW '04 Proceedings of the 24th International Conference on Distributed Computing Systems Workshops - W7: EC (ICDCSW'04) - Volume 7
Autonomic Group Protocol for Distributed Systems
ICDCSW '04 Proceedings of the 24th International Conference on Distributed Computing Systems Workshops - W7: EC (ICDCSW'04) - Volume 7
Finding a Recovery Line in Uncoordinated Checkpointing
ICDCSW '04 Proceedings of the 24th International Conference on Distributed Computing Systems Workshops - W7: EC (ICDCSW'04) - Volume 7
Heterogeneous Groups to Causally Ordered Delivery
ICDCSW '04 Proceedings of the 24th International Conference on Distributed Computing Systems Workshops - W7: EC (ICDCSW'04) - Volume 7
Characterization and delivery of directly coupled causal messages in distributed systems
Future Generation Computer Systems - Special issue: Semantic grid and knowledge grid: the next-generation web
Predicate control: synchronization in distributed computations with look-ahead
Journal of Parallel and Distributed Computing
Distributed processing of data dynamics
ACM SIGMOD Record
Adaptive clock synchronization in sensor networks
Proceedings of the 3rd international symposium on Information processing in sensor networks
Graph transformation with time
Fundamenta Informaticae - Special issue on the first international conference on graph transformation (ICGT 2002)
On the semantics of control statements
ACM SIGPLAN Notices
An Efficient Synchronization Mechanism for Mirrored Game Architectures
Multimedia Tools and Applications
On-the-fly detection of access anomalies
ACM SIGPLAN Notices - Best of PLDI 1979-1999
Relationships between memory models
Information Processing Letters
KISS: keep it simple and sequential
Proceedings of the ACM SIGPLAN 2004 conference on Programming language design and implementation
Extending the Representational State Transfer (REST) Architectural Style for Decentralized Systems
Proceedings of the 26th International Conference on Software Engineering
Quantifying rollback propagation in distributed checkpointing
Journal of Parallel and Distributed Computing
An analysis of update ordering in distributed replication systems
Future Generation Computer Systems - Special issue: Advanced services for clusters and internet computing
Improving real-time collaboration with highlighting
Future Generation Computer Systems - Special issue: Advanced services for clusters and internet computing
A causal message logging protocol for mobile nodes in mobile computing systems
Future Generation Computer Systems - Special issue: Advanced services for clusters and internet computing
Theoretical Computer Science - Logic, semantics and theory of programming
The weakest failure detectors to solve certain fundamental problems in distributed computing
Proceedings of the twenty-third annual ACM symposium on Principles of distributed computing
D-CAT: an efficient algorithm for distributed channel allocation in cellular mobile networks
Mobile Networks and Applications
LUCID: a specification language for distributed systems
ACM SIGSOFT Software Engineering Notes - Proceedings of VERkshop III -- a formal verification workshop
Batch based cancellation: a rollback optimal cancellation scheme in time warp simulations
Proceedings of the eighteenth workshop on Parallel and distributed simulation
Formalization and strictness of simulation event orderings
Proceedings of the eighteenth workshop on Parallel and distributed simulation
On the interconnection of causal memory systems
Journal of Parallel and Distributed Computing
JaRec: a portable record/replay environment for multi-threaded Java applications
Software—Practice & Experience
A unified theory of shared memory consistency
Journal of the ACM (JACM)
Communication State Transfer for the Mobility of Concurrent Heterogeneous Computing
IEEE Transactions on Computers
On Causal Broadcasting with Positive Acknowledgments and Bounded-Length Counters
IEEE Transactions on Computers
Proceedings of the second international workshop on Mobility management & wireless access protocols
Implementing a replicated service with group communication
Journal of Systems Architecture: the EUROMICRO Journal
Reachability Testing of Semaphore-Based Programs
COMPSAC '04 Proceedings of the 28th Annual International Computer Software and Applications Conference - Volume 01
A link between knowledge and communication in faulty distributed systems
TARK '90 Proceedings of the 3rd conference on Theoretical aspects of reasoning about knowledge
Causality and the spatial-temporal ordering in mobile systems
Mobile Networks and Applications
A page-coherent, causally consistent protocol for distributed shared memory
Journal of Systems and Software
Adaptive message scheduling for supporting causal ordering in wide-area group communications
Journal of Systems and Software
Scalable hierarchical locking for distributed systems
Journal of Parallel and Distributed Computing - Special issue on middleware
Supporting continuous consistency in multiplayer online games
Proceedings of the 12th annual ACM international conference on Multimedia
User-assisted tools for concurrency control in distributed multimedia collaborations
Proceedings of the 12th annual ACM international conference on Multimedia
Knowledge and the ordering of events in distributed systems: extended abstract
TARK '94 Proceedings of the 5th conference on Theoretical aspects of reasoning about knowledge
Presence: Teleoperators and Virtual Environments - Special issue: Advances in collaborative virtual environments
Knowledge consistency: a useful suspension of disbelief
TARK '88 Proceedings of the 2nd conference on Theoretical aspects of reasoning about knowledge
TARK '92 Proceedings of the 4th conference on Theoretical aspects of reasoning about knowledge
Proceedings of the 35th conference on Winter simulation: driving innovation
Consistency models for distributed interactive multimedia applications
ACM SIGOPS Operating Systems Review
Operational transformation for collaborative word processing
CSCW '04 Proceedings of the 2004 ACM conference on Computer supported cooperative work
Specifying and locating hierarchical patterns in event data
CASCON '04 Proceedings of the 2004 conference of the Centre for Advanced Studies on Collaborative research
Detecting global predicates in distributed systems with clocks
Distributed Computing
Communication-based prevention of useless checkpoints in distributed computations
Distributed Computing
A sound and complete algorithm for distributed commerce transactions
Distributed Computing
Plausible clocks: constant size logical clocks for distributed systems
Distributed Computing
Causality and atomicity in distributed computations
Distributed Computing
Detection of global predicates: techniques and their limitations
Distributed Computing
Early consensus in an asynchronous system with a weak failure detector
Distributed Computing
Animated visualization of causal relations through growing 2D geometry
Information Visualization - Special issue: Software visualization
Dynamic partial-order reduction for model checking software
Proceedings of the 32nd ACM SIGPLAN-SIGACT symposium on Principles of programming languages
Proceedings of the 32nd ACM SIGPLAN-SIGACT symposium on Principles of programming languages
Abstractions for fault-tolerant global computing
Theoretical Computer Science - Special issue: Foundations of wide area network computing
The power of logical clock abstractions
Distributed Computing
Data-dependent concurrency control and recovery
ACM SIGOPS Operating Systems Review
Total order broadcast and multicast algorithms: Taxonomy and survey
ACM Computing Surveys (CSUR)
Computer Supported Cooperative Work
Transparent information dissemination
Proceedings of the 5th ACM/IFIP/USENIX international conference on Middleware
Subscription propagation in highly-available publish/subscribe middleware
Proceedings of the 5th ACM/IFIP/USENIX international conference on Middleware
Tracing system-level communication in distributed systems
Software—Practice & Experience
ACM Transactions on Computer Systems (TOCS)
Determination of Time and Order for Event-Based Middleware in Mobile Peer-to-Peer Environments
PERCOMW '05 Proceedings of the Third IEEE International Conference on Pervasive Computing and Communications Workshops
Exploiting Temporal Uncertainty in the Distributed Simulation of Time Petri Nets
ANSS '05 Proceedings of the 38th annual Symposium on Simulation
ECEM: an event correlation based event manager for an I/O-intensive application
Journal of Systems and Software
A Fault-Tolerant Distributed Channel Allocation Scheme for Cellular Networks
IEEE Transactions on Computers
IPDPS '05 Proceedings of the 19th IEEE International Parallel and Distributed Processing Symposium (IPDPS'05) - Workshop 1 - Volume 02
Flexible time management in data stream systems
PODS '04 Proceedings of the twenty-third ACM SIGMOD-SIGACT-SIGART symposium on Principles of database systems
Concept and prototype of a collaborative business process environment for document processing
Data & Knowledge Engineering - Special issue: Collaborative business process technologies
ACM Computing Surveys (CSUR)
Ivy: a read/write peer-to-peer file system
OSDI '02 Proceedings of the 5th symposium on Operating systems design and implementationCopyright restrictions prevent ACM from being able to make the PDFs for this conference available for downloading
Fine-grained network time synchronization using reference broadcasts
OSDI '02 Proceedings of the 5th symposium on Operating systems design and implementationCopyright restrictions prevent ACM from being able to make the PDFs for this conference available for downloading
An alternative time management mechanism for distributed simulations
ACM Transactions on Modeling and Computer Simulation (TOMACS)
Distributed Computing
Synchronous, asynchronous, and causally ordered communication
Distributed Computing
Efficient detection of a class of stable properties
Distributed Computing
Strong stable properties in distributed systems
Distributed Computing
Efficient algorithms for optimistic crash recovery
Distributed Computing
Concurrent common knowledge: defining agreement for asynchronous systems
Distributed Computing
Clock synchronization and the power of broadcasting
Distributed Computing
A serializability violation detector for shared-memory server programs
Proceedings of the 2005 ACM SIGPLAN conference on Programming language design and implementation
Wireless Personal Communications: An International Journal
Scaling model checking of dataraces using dynamic information
Proceedings of the tenth ACM SIGPLAN symposium on Principles and practice of parallel programming
Using n-trees for scalable event ordering in peer-to-peer games
NOSSDAV '05 Proceedings of the international workshop on Network and operating systems support for digital audio and video
Collaboration and multimedia authoring on mobile devices
Proceedings of the 1st international conference on Mobile systems, applications and services
Debugging distributed object applications with the Eclipse platform
eclipse '04 Proceedings of the 2004 OOPSLA workshop on eclipse technology eXchange
Journal of Parallel and Distributed Computing
Compiler-generated staggered checkpointing
LCR '04 Proceedings of the 7th workshop on Workshop on languages, compilers, and run-time support for scalable systems
Developing component based adaptive applications in mobile environments
Proceedings of the 2005 ACM symposium on Applied computing
ITiCSE '05 Proceedings of the 10th annual SIGCSE conference on Innovation and technology in computer science education
Dynamic Verification of Sequential Consistency
Proceedings of the 32nd annual international symposium on Computer Architecture
Evolutionary performance-oriented development of parallel programs by composition of components
Proceedings of the 5th international workshop on Software and performance
Implementing a NTP-based time service within a distributed middleware system
Proceedings of the 3rd international symposium on Principles and practice of programming in Java
Mobi_Causal: a protocol for causal message ordering in mobile computing systems
ACM SIGMOBILE Mobile Computing and Communications Review
Scrolling partially ordered event displays
Journal of Parallel and Distributed Computing
Efficient dependency tracking for relevant events in shared-memory systems
Proceedings of the twenty-fourth annual ACM symposium on Principles of distributed computing
Efficient algorithms for verifying memory consistency
Proceedings of the seventeenth annual ACM symposium on Parallelism in algorithms and architectures
GameOD: an internet based game-on-demand framework
Proceedings of the ACM symposium on Virtual reality software and technology
Modeling message-passing programs with a Performance Evaluating Virtual Parallel Machine
Performance Evaluation - Performance modelling and evaluation of high-performance parallel and distributed systems
Improving the Performance of Software Distributed Shared Memory with Speculation
IEEE Transactions on Parallel and Distributed Systems
Low-cost clock synchronization
Distributed Computing
Compositional Development of Performance Models in Poems
International Journal of High Performance Computing Applications
Autonomous virtual mobile nodes
DIALM-POMC '05 Proceedings of the 2005 joint workshop on Foundations of mobile computing
Knowledge in shared memory systems
Distributed Computing
Vector time and causality among abstract events in distributed computations
Distributed Computing
The inhibition spectrum and the achievement of causal consistency
Distributed Computing
Detecting causal relationships in distributed computations: in search of the holy grail
Distributed Computing
Intractability results in predicate detection
Information Processing Letters
Using adaptive timeouts to achieve at-most-once message delivery
Distributed Computing
On deadlocks of exclusive AND-requests for resources
Distributed Computing
Naming symmetric processes using shared variables
Distributed Computing
A low latency and energy aware event ordering algorithm for wireless actor and sensor networks
MSWiM '05 Proceedings of the 8th ACM international symposium on Modeling, analysis and simulation of wireless and mobile systems
On the complexity of buffer allocation in message passing systems
Journal of Parallel and Distributed Computing
Implementing Trustworthy Services Using Replicated State Machines
IEEE Security and Privacy
Causality-Based Predicate Detection across Space and Time
IEEE Transactions on Computers
An Efficient Fault-Tolerant Distributed Channel Allocation Algorithm for Cellular Networks
IEEE Transactions on Mobile Computing
Speculative execution in a distributed file system
Proceedings of the twentieth ACM symposium on Operating systems principles
RaceTrack: efficient detection of data race conditions via adaptive tracking
Proceedings of the twentieth ACM symposium on Operating systems principles
Using Consistent Global Checkpoints to Synchronize Processes in Distributed Simulation
DS-RT '05 Proceedings of the 9th IEEE International Symposium on Distributed Simulation and Real-Time Applications
Dealing with Global Guards in a Distributed Simulation of Colored Petri Nets
DS-RT '05 Proceedings of the 9th IEEE International Symposium on Distributed Simulation and Real-Time Applications
Improving the Lookahead Computation in G-DEVS/HLA Environment
DS-RT '05 Proceedings of the 9th IEEE International Symposium on Distributed Simulation and Real-Time Applications
Solving Vector Consensus with a Wormhole
IEEE Transactions on Parallel and Distributed Systems
An Efficient Index-Based Checkpointing Protocol with Constant-Size Control Information on Messages
IEEE Transactions on Dependable and Secure Computing
Exploiting predicate structure for efficient reachability detection
Proceedings of the 20th IEEE/ACM international Conference on Automated software engineering
Supporting ordering and consistency in a distributed Event Heap for Ubiquitous Computing
Personal and Ubiquitous Computing
Global Clock Synchronization in Sensor Networks
IEEE Transactions on Computers
Uncertain event-based model for egocentric context sensing
SEM '05 Proceedings of the 5th international workshop on Software engineering and middleware
An Efficient Consistency Management Algorithm for Real-Time Mobile Collaboration
QSIC '05 Proceedings of the Fifth International Conference on Quality Software
Using Dominators to Extract Observable Protocol Contexts
SEFM '05 Proceedings of the Third IEEE International Conference on Software Engineering and Formal Methods
A slot swapping protocol for time-critical internetworking
Journal of Systems Architecture: the EUROMICRO Journal
From Set Membership to Group Membership: A Separation of Concerns
IEEE Transactions on Dependable and Secure Computing
Toward a Framework for Interactive Systems to Conduct Digital Audio and Video Streams
Computer Music Journal
Asynchronous backtracking without adding links: a new member in the ABT family
Artificial Intelligence - Special issue: Distributed constraint satisfaction
Asynchronous aggregation and consistency in distributed constraint satisfaction
Artificial Intelligence - Special issue: Distributed constraint satisfaction
The costs and limits of availability for replicated services
ACM Transactions on Computer Systems (TOCS)
A Network Architecture Supporting Consistent Rich Behavior in Collaborative Interactive Applications
IEEE Transactions on Visualization and Computer Graphics
Active transaction approach for collaborative virtual environments
Proceedings of the 2006 ACM international conference on Virtual reality continuum and its applications
Active Replication of Multithreaded Applications
IEEE Transactions on Parallel and Distributed Systems
40Gbps de-layered silicon protocol engine for TCP record
Proceedings of the conference on Design, automation and test in Europe: Proceedings
Effective static race detection for Java
Proceedings of the 2006 ACM SIGPLAN conference on Programming language design and implementation
Efficient exploration of service-oriented architectures using aspects
Proceedings of the 28th international conference on Software engineering
ASDL: a wide spectrum language for designing web services
Proceedings of the 15th international conference on World Wide Web
Proceedings of the 33rd annual international symposium on Computer Architecture
Byzantine fault tolerant public key authentication in peer-to-peer systems
Computer Networks: The International Journal of Computer and Telecommunications Networking - Management in peer-to-peer systems
Journal of Parallel and Distributed Computing
Distributed mutual exclusion algorithms for grid applications: A hierarchical approach
Journal of Parallel and Distributed Computing
Journal of Parallel and Distributed Computing
Concurrent search for distributed CSPs
Artificial Intelligence
Symbolic protocol analysis with an Abelian group operator or Diffie-Hellman exponentiation
Journal of Computer Security
Active disk Paxos with infinitely many processes
Distributed Computing - Special issue: PODC 02
Message-ordered multicast by common building blocks
InfoScale '06 Proceedings of the 1st international conference on Scalable information systems
A theory of data race detection
Proceedings of the 2006 workshop on Parallel and distributed systems: testing and debugging
Avrora: scalable sensor network simulation with precise timing
IPSN '05 Proceedings of the 4th international symposium on Information processing in sensor networks
On the scalability of cooperative time synchronization in pulse-connected networks
IEEE/ACM Transactions on Networking (TON) - Special issue on networking and information theory
Low complexity Byzantine-resilient consensus
Distributed Computing
Techniques and applications of computation slicing
Distributed Computing
Unifying stabilization and termination in message-passing systems
Distributed Computing
Manufacturing opaque predicates in distributed systems for code obfuscation
ACSC '06 Proceedings of the 29th Australasian Computer Science Conference - Volume 48
Fully Distributed Three-Tier Active Software Replication
IEEE Transactions on Parallel and Distributed Systems
A Performance Study of Group Editing Algorithms
ICPADS '06 Proceedings of the 12th International Conference on Parallel and Distributed Systems - Volume 1
FRoots: A Fault Tolerant and Topology-Flexible Routing Technique
IEEE Transactions on Parallel and Distributed Systems
IEEE Transactions on Dependable and Secure Computing
On consistency and network latency in distributed interactive applications: a survey--part I
Presence: Teleoperators and Virtual Environments
Temporal search: detecting hidden malware timebombs with virtual machines
Proceedings of the 12th international conference on Architectural support for programming languages and operating systems
AVIO: detecting atomicity violations via access interleaving invariants
Proceedings of the 12th international conference on Architectural support for programming languages and operating systems
A regulated transitive reduction (RTR) for longer memory race recording
Proceedings of the 12th international conference on Architectural support for programming languages and operating systems
What do high-level memory models mean for transactions?
Proceedings of the 2006 workshop on Memory system performance and correctness
Safety and consistency in policy-based authorization systems
Proceedings of the 13th ACM conference on Computer and communications security
Data consistency for P2P collaborative editing
CSCW '06 Proceedings of the 2006 20th anniversary conference on Computer supported cooperative work
Operation context and context-based operational transformation
CSCW '06 Proceedings of the 2006 20th anniversary conference on Computer supported cooperative work
Scalable algorithms for global snapshots in distributed systems
Proceedings of the 20th annual international conference on Supercomputing
Time horizon in distributed object societies
Proceedings of the 2006 annual ACM SIGAda international conference on Ada
Sapphire: Statistical Characterization and Model-Based Adaptation of Networked Applications
IEEE Transactions on Parallel and Distributed Systems
Speculative execution in a distributed file system
ACM Transactions on Computer Systems (TOCS)
Specifying memory consistency of write buffer multiprocessors
ACM Transactions on Computer Systems (TOCS)
Conditional must not aliasing for static race detection
Proceedings of the 34th annual ACM SIGPLAN-SIGACT symposium on Principles of programming languages
Framework for Modeling Reordering Heuristics for Asynchronous Backtracking
IAT '06 Proceedings of the IEEE/WIC/ACM international conference on Intelligent Agent Technology
Semantic models of a timed distributed dataspace architecture
Theoretical Computer Science - Formal methods for components and objects
Damon: a decentralized aspect middleware built on top of a peer-to-peer overlay network
Proceedings of the 6th international workshop on Software engineering and middleware
The monitorability of service-level agreements for application-service provision
WOSP '07 Proceedings of the 6th international workshop on Software and performance
Parallel and distributed simulation: traditional techniques and recent advances
Proceedings of the 38th conference on Winter simulation
Causality information and fossil collection in timewarp simulations
Proceedings of the 38th conference on Winter simulation
Eliminating remote message passing in optimistic simulation
Proceedings of the 38th conference on Winter simulation
Types for Active Objects with Static Deadlock Prevention
Fundamenta Informaticae
On the cost and safety of handoffs in content-based routing systems
Computer Networks: The International Journal of Computer and Telecommunications Networking
HAMS: scalable peer-to-peer multimedia streaming model in heterogeneous networks
Journal of Computer and System Sciences - Special issue: Performance modelling and evaluation of computer systems
Consistency requirements in multiplayer online games
NetGames '06 Proceedings of 5th ACM SIGCOMM workshop on Network and system support for games
Time and computer games or "no, that's not what happened"
Proceedings of the 3rd Australasian conference on Interactive entertainment
Asynchronous Forward-checking for DisCSPs
Constraints
Design and implementation of a dynamic tuning environment
Journal of Parallel and Distributed Computing
Efficient detection of a locally stable predicate in a distributed system
Journal of Parallel and Distributed Computing
Scaling model checking of dataraces using dynamic information
Journal of Parallel and Distributed Computing
Critical causal order of events in distributed virtual environments
ACM Transactions on Multimedia Computing, Communications, and Applications (TOMCCAP)
The perfectly synchronized round-based model of distributed computing
Information and Computation
Stabilizing causal deterministic merge
Journal of High Speed Networks - Self-Stabilizing Systems, Part 2
Customizable collaborative editor relying on treeOPT algorithm
ECSCW'03 Proceedings of the eighth conference on European Conference on Computer Supported Cooperative Work
Decentralized enforcement of security policies for distributed computational systems
Proceedings of the 2007 ACM symposium on Applied computing
Reachability Testing of Concurrent Programs
IEEE Transactions on Software Engineering
Proceedings of the 21st International Workshop on Principles of Advanced and Distributed Simulation
Automatically classifying benign and harmful data races using replay analysis
Proceedings of the 2007 ACM SIGPLAN conference on Programming language design and implementation
Timeline: a high performance archive for a distributed object store
NSDI'04 Proceedings of the 1st conference on Symposium on Networked Systems Design and Implementation - Volume 1
Proactive recovery in a Byzantine-fault-tolerant system
OSDI'00 Proceedings of the 4th conference on Symposium on Operating System Design & Implementation - Volume 4
Exploring failure transparency and the limits of generic recovery
OSDI'00 Proceedings of the 4th conference on Symposium on Operating System Design & Implementation - Volume 4
Design and evaluation of a continuous consistency model for replicated services
OSDI'00 Proceedings of the 4th conference on Symposium on Operating System Design & Implementation - Volume 4
EWD: A metamodeling driven customizable multi-MoC system modeling framework
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Formal Verification of Simulation Traces Using Computation Slicing
IEEE Transactions on Computers
On the Complexity of Removing Z-Cycles from a Checkpoints and Communication Pattern
IEEE Transactions on Computers
A Parsimonious Approach for Obtaining Resource-Efficient and Trustworthy Execution
IEEE Transactions on Dependable and Secure Computing
Detecting Arbitrary Stable Properties Using Efficient Snapshots
IEEE Transactions on Software Engineering
What is "next" in event processing?
Proceedings of the twenty-sixth ACM SIGMOD-SIGACT-SIGART symposium on Principles of database systems
Concepts and models for typing events for event-based systems
Proceedings of the 2007 inaugural international conference on Distributed event-based systems
Archipelago: an Island-based file system for highly available and scalable internet services
WSS'00 Proceedings of the 4th conference on USENIX Windows Systems Symposium - Volume 4
A protocol for secure transactions
WOEC'96 Proceedings of the 2nd conference on Proceedings of the Second USENIX Workshop on Electronic Commerce - Volume 2
Detecting data races using dynamic escape analysis based on read barrier
VM'04 Proceedings of the 3rd conference on Virtual Machine Research And Technology Symposium - Volume 3
OSDI '06 Proceedings of the 7th USENIX Symposium on Operating Systems Design and Implementation - Volume 7
Replay debugging for distributed applications
ATEC '06 Proceedings of the annual conference on USENIX '06 Annual Technical Conference
Message-driven relaxed consistency in a software distributed shared memory
OSDI '94 Proceedings of the 1st USENIX conference on Operating Systems Design and Implementation
Software write detection for a distributed shared memory
OSDI '94 Proceedings of the 1st USENIX conference on Operating Systems Design and Implementation
Jarec: record/replay for multi-threaded java programs
JVM'01 Proceedings of the 2001 Symposium on JavaTM Virtual Machine Research and Technology Symposium - Volume 1
Object caching in a CORBA compliant system
COOTS'96 Proceedings of the 2nd conference on USENIX Conference on Object-Oriented Technologies (COOTS) - Volume 2
The object group design pattern
COOTS'96 Proceedings of the 2nd conference on USENIX Conference on Object-Oriented Technologies (COOTS) - Volume 2
Adding group communication and fault-tolerance to CORBA
COOTS'95 Proceedings of the USENIX Conference on Object-Oriented Technologies on USENIX Conference on Object-Oriented Technologies (COOTS)
Fault Detection in Multi-Threaded C++ Server Applications
Electronic Notes in Theoretical Computer Science (ENTCS)
Checking automatically the output of concurrent threads
Proceedings of the 12th annual SIGCSE conference on Innovation and technology in computer science education
Testing Dynamic Adaptation in Distributed Systems
AST '07 Proceedings of the Second International Workshop on Automation of Software Test
Maximum availability server selection policy for efficient and reliable session control systems
IEEE/ACM Transactions on Networking (TON)
Proceedings of the twenty-sixth annual ACM symposium on Principles of distributed computing
Optimal atomic broadcast and multicast algorithms for wide area networks
Proceedings of the twenty-sixth annual ACM symposium on Principles of distributed computing
A survey of research and development in distributed database management
VLDB '77 Proceedings of the third international conference on Very large data bases - Volume 3
VLDB '77 Proceedings of the third international conference on Very large data bases - Volume 3
Transaction and catalog management of the distributed file management system DISCO
VLDB '79 Proceedings of the fifth international conference on Very Large Data Bases - Volume 5
Updating replicated data during communications failures
VLDB '85 Proceedings of the 11th international conference on Very Large Data Bases - Volume 11
Distributed concurrency control in database systems
VLDB '80 Proceedings of the sixth international conference on Very Large Data Bases - Volume 6
Update synchronization in distributed databases
VLDB '80 Proceedings of the sixth international conference on Very Large Data Bases - Volume 6
The analysis of the effects of concurrency control on distributed database system performance
VLDB '80 Proceedings of the sixth international conference on Very Large Data Bases - Volume 6
Strategies for handling transactions in distributed data base systems during recovery
VLDB '80 Proceedings of the sixth international conference on Very Large Data Bases - Volume 6
RELAY: static race detection on millions of lines of code
Proceedings of the the 6th joint meeting of the European software engineering conference and the ACM SIGSOFT symposium on The foundations of software engineering
Zyzzyva: speculative byzantine fault tolerance
Proceedings of twenty-first ACM SIGOPS symposium on Operating systems principles
Attested append-only memory: making adversaries stick to their word
Proceedings of twenty-first ACM SIGOPS symposium on Operating systems principles
Dynamo: amazon's highly available key-value store
Proceedings of twenty-first ACM SIGOPS symposium on Operating systems principles
ELECTRA: making distributed programs object-oriented
Sedms'93 USENIX Systems on USENIX Experiences with Distributed and Multiprocessor Systems - Volume 4
Self-tuned passive testers for grey-box distributed systems with indefinite communication delays
PDCN'07 Proceedings of the 25th conference on Proceedings of the 25th IASTED International Multi-Conference: parallel and distributed computing and networks
An enhanced model-based checkpointing protocol
PDCN'07 Proceedings of the 25th conference on Proceedings of the 25th IASTED International Multi-Conference: parallel and distributed computing and networks
A new robust centralized DMX algorithm
PDCN'07 Proceedings of the 25th conference on Proceedings of the 25th IASTED International Multi-Conference: parallel and distributed computing and networks
Tree clocks: an efficient and entirely dynamic logical time system
PDCN'07 Proceedings of the 25th conference on Proceedings of the 25th IASTED International Multi-Conference: parallel and distributed computing and networks
OSDI '06 Proceedings of the 7th symposium on Operating systems design and implementation
Elections in a Distributed Computing System
IEEE Transactions on Computers
Structured Specification of Communicating Systems
IEEE Transactions on Computers
Comments on "The Design of a Reliable Remote Procedure Call Mechanism"
IEEE Transactions on Computers
Queueing Analysis of Global Locking Synchronization Schemes for Multicopy Databases
IEEE Transactions on Computers
The Design of a Reliable Remote Procedure Call Mechanism
IEEE Transactions on Computers
REBUS, A Fault-Tolerant Distributed System for Industrial Real-Time Control
IEEE Transactions on Computers
Temporal Predicate Detection Using Synchronized Clocks
IEEE Transactions on Computers
A Distributed Control Algorithm for Reliably and Consistently Updating Replicated Databases
IEEE Transactions on Computers
A Quorum-Based Group Mutual Exclusion Algorithm for a Distributed System with Dynamic Group Set
IEEE Transactions on Parallel and Distributed Systems
Solving Computation Slicing Using Predicate Detection
IEEE Transactions on Parallel and Distributed Systems
A Time-Optimal Self-Stabilizing Synchronizer Using A Phase Clock
IEEE Transactions on Dependable and Secure Computing
Neural, Parallel & Scientific Computations
Capturing global transactions from multiple recovery log files in a partitioned database system
VLDB '03 Proceedings of the 29th international conference on Very large data bases - Volume 29
Dcv: a causality detection approach for large-scale dynamic collaboration environments
Proceedings of the 2007 international ACM conference on Supporting group work
Automated Rule-Based Diagnosis through a Distributed Monitor System
IEEE Transactions on Dependable and Secure Computing
Improving causality logging in mobile computing networks
ACM SIGMOBILE Mobile Computing and Communications Review
Casting votes in the auditorium
EVT'07 Proceedings of the USENIX Workshop on Accurate Electronic Voting Technology
XRPC: interoperable and efficient distributed XQuery
VLDB '07 Proceedings of the 33rd international conference on Very large data bases
Contextual effects for version-consistent dynamic software updating and safe concurrent programming
Proceedings of the 35th annual ACM SIGPLAN-SIGACT symposium on Principles of programming languages
Multiparty asynchronous session types
Proceedings of the 35th annual ACM SIGPLAN-SIGACT symposium on Principles of programming languages
The collective memory of amnesic processes
ACM Transactions on Algorithms (TALG)
Dynamic slicing on Java bytecode traces
ACM Transactions on Programming Languages and Systems (TOPLAS)
Pronto: High availability for standard off-the-shelf databases
Journal of Parallel and Distributed Computing
Runtime race detection for multi-threaded C++ server applications
SE'07 Proceedings of the 25th conference on IASTED International Multi-Conference: Software Engineering
A Chart Semantics for the Pi-Calculus
Electronic Notes in Theoretical Computer Science (ENTCS)
On the interconnection of message passing systems
Information Processing Letters
A fault tolerant mutual exclusion algorithm for mobile ad hoc networks
Pervasive and Mobile Computing
Deterministic Simulation of Hybrid Flow Components
DS-RT '07 Proceedings of the 11th IEEE International Symposium on Distributed Simulation and Real-Time Applications
An efficient algorithm in the HLA time management
Proceedings of the 39th conference on Winter simulation: 40 years! The best is yet to come
Model-based performance evaluation of distributed checkpointing protocols
Performance Evaluation
International Journal of Ad Hoc and Ubiquitous Computing
Flexible and transparent data sharing for synchronous groupware
International Journal of Computer Applications in Technology
An autonomic group communication protocol for distributed applications
International Journal of High Performance Computing and Networking
Scalable multimedia streaming model in heterogeneous networks
International Journal of High Performance Computing and Networking
A synchronous checkpointing protocol for mobile distributed systems: probabilistic approach
International Journal of Information and Computer Security
Scalable synchronization of clocks in wireless sensor networks
Ad Hoc Networks
Proceedings of the 1st international conference on Ambient media and systems
Proceedings of the 2008 ACM symposium on Applied computing
Analyzing concurrency in streaming applications
Journal of Systems Architecture: the EUROMICRO Journal
Lock-free consistency control for web 2.0 applications
Proceedings of the 17th international conference on World Wide Web
jPredictor: a predictive runtime analysis tool for java
Proceedings of the 30th international conference on Software engineering
An efficient logical clock for replaying message-passing programs
ICCOMP'05 Proceedings of the 9th WSEAS International Conference on Computers
MOVIS: A system for visualizing distributed mobile object environments
Journal of Visual Languages and Computing
On termination detection in crash-prone distributed systems with failure detectors
Journal of Parallel and Distributed Computing
Data-stream-based global event monitoring using pairwise interactions
Journal of Parallel and Distributed Computing
Matching from the first iteration: an iterative switching algorithm for an input queued switch
IEEE/ACM Transactions on Networking (TON)
Velodrome: a sound and complete dynamic atomicity checker for multithreaded programs
Proceedings of the 2008 ACM SIGPLAN conference on Programming language design and implementation
A low-cost hybrid coordinated checkpointing protocol for mobile distributed systems
Mobile Information Systems
Communication analysis of distributed programs
Scientific Programming - Parallel/High-Performance Object-Oriented Scientific Computing (POOSC '05), Glasgow, UK, 25 July 2005
Types for atomicity: Static checking and inference for Java
ACM Transactions on Programming Languages and Systems (TOPLAS)
Ad-hoc co-located collaborative work with mobile devices
Proceedings of the 9th international conference on Human computer interaction with mobile devices and services
RaceTM: detecting data races using transactional memory
Proceedings of the twentieth annual symposium on Parallelism in algorithms and architectures
Behavioral detection of malware on mobile handsets
Proceedings of the 6th international conference on Mobile systems, applications, and services
A quasi-synchronous checkpointing algorithm that prevents contention for stable storage
Information Sciences: an International Journal
Rerun: Exploiting Episodes for Lightweight Memory Race Recording
ISCA '08 Proceedings of the 35th Annual International Symposium on Computer Architecture
A Formal Definition of Dynamic Structure Hybrid Simulation Model
Proceedings of the 22nd Workshop on Principles of Advanced and Distributed Simulation
Applying static network protocols to dynamic networks
SFCS '87 Proceedings of the 28th Annual Symposium on Foundations of Computer Science
A quasi-synchronous checkpointing algorithm that prevents contention for stable storage
Information Sciences: an International Journal
Relative temporal constraints in the Rete algorithm for complex event detection
Proceedings of the second international conference on Distributed event-based systems
Semantics of dynamic structure event-based systems
Proceedings of the second international conference on Distributed event-based systems
D3S: debugging deployed distributed systems
NSDI'08 Proceedings of the 5th USENIX Symposium on Networked Systems Design and Implementation
Dynamic recognition of synchronization operations for improved data race detection
ISSTA '08 Proceedings of the 2008 international symposium on Software testing and analysis
Scheduling considerations for building dynamic verification tools for MPI
PADTAD '08 Proceedings of the 6th workshop on Parallel and distributed systems: testing, analysis, and debugging
On-the-fly race detection in multi-threaded programs
PADTAD '08 Proceedings of the 6th workshop on Parallel and distributed systems: testing, analysis, and debugging
AtomRace: data race and atomicity violation detector and healer
PADTAD '08 Proceedings of the 6th workshop on Parallel and distributed systems: testing, analysis, and debugging
Predictive runtime verification of multi-processor SoCs in SystemC
Proceedings of the 45th annual Design Automation Conference
Performance modelling of asynchronous replica distribution technique on distributed database systems
International Journal of Computer Mathematics - Distributed Algorithms in Science and Engineering
ACM Transactions on Computer Systems (TOCS)
Proceedings of the 2008 Spring simulation multiconference
Virtual infrastructure for collision-prone wireless networks
Proceedings of the twenty-seventh ACM symposium on Principles of distributed computing
BMobi_Causal: a causal broadcast protocol in mobile dynamic groups
Proceedings of the twenty-seventh ACM symposium on Principles of distributed computing
The asynchronous bounded-cycle model
Proceedings of the twenty-seventh ACM symposium on Principles of distributed computing
The structure of information pathways in a social communication network
Proceedings of the 14th ACM SIGKDD international conference on Knowledge discovery and data mining
Approximating the buffer allocation problem using epochs
Journal of Parallel and Distributed Computing
2-step algorithm for enhancing effectiveness of sender-based message logging
SpringSim '07 Proceedings of the 2007 spring simulation multiconference - Volume 2
Timed buffers: A technique for update propagation in nomadic environments
Computer Communications
Modeling and analysis of global epidemiology of avian influenza
Environmental Modelling & Software
Real time data consistency in component based embedded systems
NOTERE '08 Proceedings of the 8th international conference on New technologies in distributed systems
A Software Architecture for Shared Resource Management in Mobile Ad Hoc Networks
SOFSEM '07 Proceedings of the 33rd conference on Current Trends in Theory and Practice of Computer Science
The DHCP Failover Protocol: A Formal Perspective
FORTE '07 Proceedings of the 27th IFIP WG 6.1 international conference on Formal Techniques for Networked and Distributed Systems
Testing Distributed Systems Through Symbolic Model Checking
FORTE '07 Proceedings of the 27th IFIP WG 6.1 international conference on Formal Techniques for Networked and Distributed Systems
Redesign of the LMST Wireless Sensor Protocol through Formal Modeling and Statistical Model Checking
FMOODS '08 Proceedings of the 10th IFIP WG 6.1 international conference on Formal Methods for Open Object-Based Distributed Systems
CAV '08 Proceedings of the 20th international conference on Computer Aided Verification
Dynamic Consistency Analysis for Convergent Operators
AIMS '08 Proceedings of the 2nd international conference on Autonomous Infrastructure, Management and Security: Resilient Networks and Services
On Race Vulnerabilities in Web Applications
DIMVA '08 Proceedings of the 5th international conference on Detection of Intrusions and Malware, and Vulnerability Assessment
Efficient Modeling of Concurrent Systems in BMC
SPIN '08 Proceedings of the 15th international workshop on Model Checking Software
Monotonic Abstraction in Action
Proceedings of the 5th international colloquium on Theoretical Aspects of Computing
On Synchronous and Asynchronous Interaction in Distributed Systems
MFCS '08 Proceedings of the 33rd international symposium on Mathematical Foundations of Computer Science
Clock Synchronization in Cell BE Traces
Euro-Par '08 Proceedings of the 14th international Euro-Par conference on Parallel Processing
On-Line Performance Modeling for MPI Applications
Euro-Par '08 Proceedings of the 14th international Euro-Par conference on Parallel Processing
Fault-Tolerant Partial Replication in Large-Scale Database Systems
Euro-Par '08 Proceedings of the 14th international Euro-Par conference on Parallel Processing
The Impact of Clustering on Token-Based Mutual Exclusion Algorithms
Euro-Par '08 Proceedings of the 14th international Euro-Par conference on Parallel Processing
DEXA '08 Proceedings of the 19th international conference on Database and Expert Systems Applications
Semantics of Deterministic Shared-Memory Systems
CONCUR '08 Proceedings of the 19th international conference on Concurrency Theory
A Scalable and Oblivious Atomicity Assertion
CONCUR '08 Proceedings of the 19th international conference on Concurrency Theory
MSO Logic for Unambiguous Shared-Memory Systems
DLT '08 Proceedings of the 12th international conference on Developments in Language Theory
A distributed mutual exclusion algorithm over multi-routing protocol for mobile ad hoc networks
International Journal of Parallel, Emergent and Distributed Systems
A Multi-Versioning Scheme for Intention Preservation in Collaborative Editing Systems*
Computer Supported Cooperative Work
Multi-level Editing of Hierarchical Documents
Computer Supported Cooperative Work
Scalable and reliable communication for hardware transactional memory
Proceedings of the 17th international conference on Parallel architectures and compilation techniques
Modeling and simulation comparison of two time synchronization protocols
Proceedings of the 3nd ACM workshop on Performance monitoring and measurement of heterogeneous wireless and wired networks
Journal of Systems and Software
Enforcing Safety and Consistency Constraints in Policy-Based Authorization Systems
ACM Transactions on Information and System Security (TISSEC)
Viability of ISI-based TETRA over satellite
WSEAS TRANSACTIONS on COMMUNICATIONS
WSEAS Transactions on Computers
A model of process documentation to determine provenance in mash-ups
ACM Transactions on Internet Technology (TOIT)
Optimal message-driven implementations of omega with mute processes
ACM Transactions on Autonomous and Adaptive Systems (TAAS)
Journal of Parallel and Distributed Computing
Mixed reality participants in smart meeting rooms and smart home environments
Personal and Ubiquitous Computing
A Complete Quantified Epistemic Logic for Reasoning about Message Passing Systems
Computational Logic in Multi-Agent Systems
Relaxed memory models: an operational approach
Proceedings of the 36th annual ACM SIGPLAN-SIGACT symposium on Principles of programming languages
Journal of Parallel and Distributed Computing
Proving Epistemic and Temporal Properties from Knowledge Based Programs
SBIA '08 Proceedings of the 19th Brazilian Symposium on Artificial Intelligence: Advances in Artificial Intelligence
The Asynchronous Bounded-Cycle Model
SSS '08 Proceedings of the 10th International Symposium on Stabilization, Safety, and Security of Distributed Systems
A theoretical evaluation of peer-to-peer internal clock synchronization
Autonomics '08 Proceedings of the 2nd International Conference on Autonomic Computing and Communication Systems
OPODIS '08 Proceedings of the 12th International Conference on Principles of Distributed Systems
CQS-Pair: Cyclic Quorum System Pair for Wakeup Scheduling in Wireless Sensor Networks
OPODIS '08 Proceedings of the 12th International Conference on Principles of Distributed Systems
Optimal Deterministic Remote Clock Estimation in Real-Time Systems
OPODIS '08 Proceedings of the 12th International Conference on Principles of Distributed Systems
Solving Atomic Multicast When Groups Crash
OPODIS '08 Proceedings of the 12th International Conference on Principles of Distributed Systems
Diagnosing distributed systems with self-propelled instrumentation
Proceedings of the 9th ACM/IFIP/USENIX International Conference on Middleware
Debugging and testing middleware with aspect-based control-flow and causal patterns
Proceedings of the 9th ACM/IFIP/USENIX International Conference on Middleware
Approaching context-awareness for open intelligent space
Proceedings of the 6th International Conference on Advances in Mobile Computing and Multimedia
Empire of colonies: Self-stabilizing and self-organizing distributed algorithm
Theoretical Computer Science
Theoretical Computer Science
Towards a real-time distributed computing model
Theoretical Computer Science
A concurrency control algorithm in a distributed environment
AFIPS '81 Proceedings of the May 4-7, 1981, national computer conference
Fair timestamp allocation in distributed systems
AFIPS '82 Proceedings of the June 7-10, 1982, national computer conference
Formal verification of practical MPI programs
Proceedings of the 14th ACM SIGPLAN symposium on Principles and practice of parallel programming
Modelling and Verifying Mobile Systems Using π -Graphs
SOFSEM '09 Proceedings of the 35th Conference on Current Trends in Theory and Practice of Computer Science
Efficiently discovering critical workflows in scientific explorations
Future Generation Computer Systems
Kendo: efficient deterministic multithreading in software
Proceedings of the 14th international conference on Architectural support for programming languages and operating systems
Race analysis for SystemC using model checking
Proceedings of the 2008 IEEE/ACM International Conference on Computer-Aided Design
CMV: File consistency maintenance through virtual servers in peer-to-peer systems
Journal of Parallel and Distributed Computing
Decentralized message ordering for publish/subscribe systems
Proceedings of the ACM/IFIP/USENIX 2006 International Conference on Middleware
Two hardware-based approaches for deterministic multiprocessor replay
Communications of the ACM - One Laptop Per Child: Vision vs. Reality
Garbage collecting the grid: a complete DGC for activities
Proceedings of the ACM/IFIP/USENIX 2007 International Conference on Middleware
Computers and Electrical Engineering
A systematic approach to system state restoration during storage controller micro-recovery
FAST '09 Proccedings of the 7th conference on File and storage technologies
Story book: an efficient extensible provenance framework
TAPP'09 First workshop on on Theory and practice of provenance
Paxos for System Builders: an overview
LADIS '08 Proceedings of the 2nd Workshop on Large-Scale Distributed Systems and Middleware
Quantified epistemic logics for reasoning about knowledge in multi-agent systems
Artificial Intelligence
Visualizing the runtime behavior of embedded network systems: A toolkit for TinyOS
Science of Computer Programming
Tree-Based Dynamic Primary Copy Algorithms for Replicated Databases
ICDCN '09 Proceedings of the 10th International Conference on Distributed Computing and Networking
Live Debugging of Distributed Systems
CC '09 Proceedings of the 18th International Conference on Compiler Construction: Held as Part of the Joint European Conferences on Theory and Practice of Software, ETAPS 2009
Cross-Entropy-Based Replay of Concurrent Programs
FASE '09 Proceedings of the 12th International Conference on Fundamental Approaches to Software Engineering: Held as Part of the Joint European Conferences on Theory and Practice of Software, ETAPS 2009
Formal Aspects in Security and Trust
Reconciliation of compound actions in internet-based distributed collaborative systems
International Journal of High Performance Computing and Networking
Specification and verification of time requirements with CCSL and Esterel
Proceedings of the 2009 ACM SIGPLAN/SIGBED conference on Languages, compilers, and tools for embedded systems
A randomized dynamic program analysis technique for detecting real deadlocks
Proceedings of the 2009 ACM SIGPLAN conference on Programming language design and implementation
FastTrack: efficient and precise dynamic race detection
Proceedings of the 2009 ACM SIGPLAN conference on Programming language design and implementation
LiteRace: effective sampling for lightweight data-race detection
Proceedings of the 2009 ACM SIGPLAN conference on Programming language design and implementation
Self-stabilization preserving compiler
ACM Transactions on Programming Languages and Systems (TOPLAS)
A method for topological entity correspondence in a replicated collaborative CAD system
Computers in Industry
Efficient dependency tracking for relevant events in concurrent systems
Distributed Computing
A case for an interleaving constrained shared-memory multi-processor
Proceedings of the 36th annual international symposium on Computer architecture
SigRace: signature-based data race detection
Proceedings of the 36th annual international symposium on Computer architecture
State-space exploration for concurrent algorithms under weak memory orderings: (preliminary version)
ACM SIGARCH Computer Architecture News
ACM SIGARCH Computer Architecture News
MODIST: transparent model checking of unmodified distributed systems
NSDI'09 Proceedings of the 6th USENIX symposium on Networked systems design and implementation
CrystalBall: predicting and preventing inconsistencies in deployed distributed systems
NSDI'09 Proceedings of the 6th USENIX symposium on Networked systems design and implementation
Tolerating latency in replicated state machines through client speculation
NSDI'09 Proceedings of the 6th USENIX symposium on Networked systems design and implementation
Context-oriented programming with EventJava
International Workshop on Context-Oriented Programming
Asynchronous Session Types: Exceptions and Multiparty Interactions
Formal Methods for Web Services
D-Praxis: A Peer-to-Peer Collaborative Model Editing Framework
DAIS '09 Proceedings of the 9th IFIP WG 6.1 International Conference on Distributed Applications and Interoperable Systems
A Generic Group Communication Approach for Hybrid Distributed Systems
DAIS '09 Proceedings of the 9th IFIP WG 6.1 International Conference on Distributed Applications and Interoperable Systems
Symmetric and Asymmetric Asynchronous Interaction
Electronic Notes in Theoretical Computer Science (ENTCS)
Building effective mutual exclusion services for grids
The Journal of Supercomputing
Examples of monitoring and program analysis activities with DeWiz
International Journal of Computational Science and Engineering
A Group k-Mutual Exclusion Algorithm for Mobile Ad Hoc Networks
IWANN '09 Proceedings of the 10th International Work-Conference on Artificial Neural Networks: Part II: Distributed Computing, Artificial Intelligence, Bioinformatics, Soft Computing, and Ambient Assisted Living
A Snapshot Algorithm for Mobile Ad Hoc Networks
IWANN '09 Proceedings of the 10th International Work-Conference on Artificial Neural Networks: Part II: Distributed Computing, Artificial Intelligence, Bioinformatics, Soft Computing, and Ambient Assisted Living
WoLLIC '09 Proceedings of the 16th International Workshop on Logic, Language, Information and Computation
Scalable Time Warp on Blue Gene Supercomputers
PADS '09 Proceedings of the 2009 ACM/IEEE/SCS 23rd Workshop on Principles of Advanced and Distributed Simulation
ICS'08 Proceedings of the 12th WSEAS international conference on Systems
Satellite interconnection of TETRA networks via inter-system interface
ICCOM'08 Proceedings of the 12th WSEAS international conference on Communications
Extracting quorum failure detectors
Proceedings of the 28th ACM symposium on Principles of distributed computing
The design and implementation of a session layer for delay-tolerant networks
Computer Communications
Finding race conditions in Erlang with QuickCheck and PULSE
Proceedings of the 14th ACM SIGPLAN international conference on Functional programming
Software architecture built from behavior models
ACM SIGSOFT Software Engineering Notes
Consensus in the presence of partial synchrony (Preliminary Version)
PODC '84 Proceedings of the third annual ACM symposium on Principles of distributed computing
Interactive cooperative learning system based on virtual shared space: HyCLASS
CSCL '97 Proceedings of the 2nd international conference on Computer support for collaborative learning
Gradient clock synchronization in wireless sensor networks
IPSN '09 Proceedings of the 2009 International Conference on Information Processing in Sensor Networks
The Bulk Multicore architecture for improved programmability
Communications of the ACM - Finding the Fun in Computer Science Education
Visualizing Potential Deadlocks in Multithreaded Programs
PaCT '09 Proceedings of the 10th International Conference on Parallel Computing Technologies
A Software Transactional Memory Service for Grids
ICA3PP '09 Proceedings of the 9th International Conference on Algorithms and Architectures for Parallel Processing
A Reduction Theorem for the Verification of Round-Based Distributed Algorithms
RP '09 Proceedings of the 3rd International Workshop on Reachability Problems
Distributed complex event processing with query rewriting
Proceedings of the Third ACM International Conference on Distributed Event-Based Systems
Towards efficient dominant relationship exploration of the product items on the web
AAAI'07 Proceedings of the 22nd national conference on Artificial intelligence - Volume 2
An intermedia synchronisation mechanism for multimedia distributed systems
International Journal of Internet Protocol Technology
A causal multicast protocol for dynamic groups in cellular networks
Proceedings of the 2008 Euro American Conference on Telematics and Information Systems
Maintaining constraints of UML models in distributed collaborative environments
Journal of Systems Architecture: the EUROMICRO Journal
Message fragment based causal message logging
Journal of Parallel and Distributed Computing
ODR: output-deterministic replay for multicore debugging
Proceedings of the ACM SIGOPS 22nd symposium on Operating systems principles
Who said that?: the send-receive correlation problem in network log analysis
ACM SIGMETRICS Performance Evaluation Review
Unit testing for multi-threaded Java programs
Proceedings of the 7th Workshop on Parallel and Distributed Systems: Testing, Analysis, and Debugging
Symmetric active/active metadata service for high availability parallel file systems
Journal of Parallel and Distributed Computing
Predictive Typestate Checking of Multithreaded Java Programs
ASE '08 Proceedings of the 2008 23rd IEEE/ACM International Conference on Automated Software Engineering
Macrodebugging: global views of distributed program execution
Proceedings of the 7th ACM Conference on Embedded Networked Sensor Systems
Low-power clock synchronization using electromagnetic energy radiating from AC power lines
Proceedings of the 7th ACM Conference on Embedded Networked Sensor Systems
Full simulation coverage for SystemC transaction-level models of systems-on-a-chip
Formal Methods in System Design
Asynchronous backtracking without adding links: a new member in the ABT family
Artificial Intelligence - Special issue: Distributed constraint satisfaction
Asynchronous aggregation and consistency in distributed constraint satisfaction
Artificial Intelligence - Special issue: Distributed constraint satisfaction
Hazard avoidance in wireless sensor and actor networks
Computer Communications
Causally ordered delivery in a hierarchical group of peer processes
Computer Communications
Scalable synchronization of clocks in distributed environments
PDCS '07 Proceedings of the 19th IASTED International Conference on Parallel and Distributed Computing and Systems
Byzantine fault tolerant public key authentication in peer-to-peer systems
Computer Networks: The International Journal of Computer and Telecommunications Networking - Management in peer-to-peer systems
Enhancing collaboration in virtual reality applications
Computers and Graphics
PLFS: a checkpoint filesystem for parallel applications
Proceedings of the Conference on High Performance Computing Networking, Storage and Analysis
Scalable temporal order analysis for large scale debugging
Proceedings of the Conference on High Performance Computing Networking, Storage and Analysis
Proceedings of the 2nd International Conference on Interaction Sciences: Information Technology, Culture and Human
A dioid model for invariant resource sharing problems
IEEE Transactions on Systems, Man, and Cybernetics, Part A: Systems and Humans
Zyzzyva: Speculative Byzantine fault tolerance
ACM Transactions on Computer Systems (TOCS)
Heterogeneous clock group protocol for causally ordered delivery of messages
International Journal of Wireless and Mobile Computing
Run-time monitoring of distributed applications
Middleware '98 Proceedings of the IFIP International Conference on Distributed Systems Platforms and Open Distributed Processing
Multithreaded java program test generation
IBM Systems Journal
Proceedings of the 42nd Annual IEEE/ACM International Symposium on Microarchitecture
Offline symbolic analysis for multi-processor execution replay
Proceedings of the 42nd Annual IEEE/ACM International Symposium on Microarchitecture
Architecting a chunk-based memory race recorder in modern CMPs
Proceedings of the 42nd Annual IEEE/ACM International Symposium on Microarchitecture
Physically based collaborative simulations under ring-like network configurations
IEEE Computer Graphics and Applications - Special issue on non-photorealistic rendering a virtual environment for teaching social skills
Debugging complex software systems by means of pathfinder networks
Information Sciences: an International Journal
A Context-Driven Framework for Distributed Collaboration
DS-RT '09 Proceedings of the 2009 13th IEEE/ACM International Symposium on Distributed Simulation and Real Time Applications
An evaluation of timed scenario notations
Journal of Systems and Software
A Token-Based Mutual Exclusion Approach to Improve Collaboration in Distributed Environments
ICCCI '09 Proceedings of the 1st International Conference on Computational Collective Intelligence. Semantic Web, Social Networks and Multiagent Systems
Applying Event Stream Processing on Traffic Problem Detection
EPIA '09 Proceedings of the 14th Portuguese Conference on Artificial Intelligence: Progress in Artificial Intelligence
On Detecting Regular Predicates in Distributed Systems
ATVA '09 Proceedings of the 7th International Symposium on Automated Technology for Verification and Analysis
Multi-synchronous Collaborative Semantic Wikis
WISE '09 Proceedings of the 10th International Conference on Web Information Systems Engineering
Symbolic Predictive Analysis for Concurrent Programs
FM '09 Proceedings of the 2nd World Congress on Formal Methods
Analysis of a Clock Synchronization Protocol for Wireless Sensor Networks
FM '09 Proceedings of the 2nd World Congress on Formal Methods
Reduced Execution Semantics of MPI: From Theory to Practice
FM '09 Proceedings of the 2nd World Congress on Formal Methods
Leveraging parallel nesting in transactional memory
Proceedings of the 15th ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming
Parallel Interconnection of Broadcast Systems with Multiple FIFO Channels
OTM '09 Proceedings of the Confederated International Conferences, CoopIS, DOA, IS, and ODBASE 2009 on On the Move to Meaningful Internet Systems: Part I
Engineering Distributed Shared Memory Middleware for Java
OTM '09 Proceedings of the Confederated International Conferences, CoopIS, DOA, IS, and ODBASE 2009 on On the Move to Meaningful Internet Systems: Part I
Enforcing Concurrent Temporal Behaviors
Electronic Notes in Theoretical Computer Science (ENTCS)
JVM Independent Replay in Java
Electronic Notes in Theoretical Computer Science (ENTCS)
Intractability results in predicate detection
Information Processing Letters
A distributed token based h-out of-k Mutual Exclusion protocol for mobile ad hoc networks
International Journal of Ad Hoc and Ubiquitous Computing
Mobile agent systems and cellular automata
Autonomous Agents and Multi-Agent Systems
Feasibility of semiring-based timing constraints
ACM Transactions on Embedded Computing Systems (TECS)
Multi-application inter-tile synchronization on ultra-high-resolution display walls
MMSys '10 Proceedings of the first annual ACM SIGMM conference on Multimedia systems
Predicting and preventing inconsistencies in deployed distributed systems
ACM Transactions on Computer Systems (TOCS)
Santa Claus: Formal analysis of a process-oriented solution
ACM Transactions on Programming Languages and Systems (TOPLAS)
Tight failure detection bounds on atomic object implementations
Journal of the ACM (JACM)
A new trace-driven shared-memory multiprocessors machine simulator
International Journal of Computers and Applications
ConMem: detecting severe concurrency bugs through an effect-oriented approach
Proceedings of the fifteenth edition of ASPLOS on Architectural support for programming languages and operating systems
Specifying and dynamically verifying address translation-aware memory consistency
Proceedings of the fifteenth edition of ASPLOS on Architectural support for programming languages and operating systems
A weighted checkpointing protocol for mobile distributed systems
International Journal of Ad Hoc and Ubiquitous Computing
An approach to feature location in distributed systems
Journal of Systems and Software
ACM SIGACT News
Race analysis for systemc using model checking
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Kivati: fast detection and prevention of atomicity violations
Proceedings of the 5th European conference on Computer systems
Execution synthesis: a technique for automated software debugging
Proceedings of the 5th European conference on Computer systems
Problems and prospects for quantum computational speed-up
ICCS'03 Proceedings of the 2003 international conference on Computational science
Chess: systematic stress testing of concurrent software
LOPSTR'06 Proceedings of the 16th international conference on Logic-based program synthesis and transformation
Scalable thread visualization for debugging data races in OpenMP programs
GPC'07 Proceedings of the 2nd international conference on Advances in grid and pervasive computing
MPIRace-check: detection of message races in MPI programs
GPC'07 Proceedings of the 2nd international conference on Advances in grid and pervasive computing
Self-stabilizing atomicity refinement allowing neighborhood concurrency
SSS'03 Proceedings of the 6th international conference on Self-stabilizing systems
OpenMP support in the Intel® thread checker
WOMPAT'03 Proceedings of the OpenMP applications and tools 2003 international conference on OpenMP shared memory parallel programming
Searching for shapes in cryptographic protocols
TACAS'07 Proceedings of the 13th international conference on Tools and algorithms for the construction and analysis of systems
SVtL: system verification through logic tool support for verifying sliced hierarchical statecharts
WADT'06 Proceedings of the 18th international conference on Recent trends in algebraic development techniques
Regional synchronization for simultaneous actions in situated multi-agent systems
CEEMAS'03 Proceedings of the 3rd Central and Eastern European conference on Multi-agent systems
VECPAR'02 Proceedings of the 5th international conference on High performance computing for computational science
Flexible communication architecture for dependable time-triggered systems
Architecting dependable systems IV
Parametric and sliced causality
CAV'07 Proceedings of the 19th international conference on Computer aided verification
Cartesian partial-order reduction
Proceedings of the 14th international SPIN conference on Model checking software
Fast, flexible, and highly resilient genuine fifo and causal multicast algorithms
Proceedings of the 2010 ACM Symposium on Applied Computing
Spanders: distributed spanning expanders
Proceedings of the 2010 ACM Symposium on Applied Computing
A review on specifying software architectures using extended automata-based models
FSEN'07 Proceedings of the 2007 international conference on Fundamentals of software engineering
DOLCLAN: middleware support for peer-to-peer distributed shared objects
DAIS'07 Proceedings of the 7th IFIP WG 6.1 international conference on Distributed applications and interoperable systems
An improved concurrent search algorithm for distributed CSPs
AI'07 Proceedings of the 20th Australian joint conference on Advances in artificial intelligence
Wooki: a P2P wiki-based collaborative writing tool
WISE'07 Proceedings of the 8th international conference on Web information systems engineering
Modeling distributed events in data-intensive rich internet applications
WISE'07 Proceedings of the 8th international conference on Web information systems engineering
A consistent checkpointing-recovery protocol for minimal number of nodes in mobile computing system
HiPC'07 Proceedings of the 14th international conference on High performance computing
Separability to help parallel simulation of distributed computations
OPODIS'07 Proceedings of the 11th international conference on Principles of distributed systems
Conflict resolution of Boolean operations by integration in real-time collaborative CAD systems
OTM'07 Proceedings of the 2007 OTM Confederated international conference on On the move to meaningful internet systems: CoopIS, DOA, ODBASE, GADA, and IS - Volume Part I
Decentralised commitment for optimistic semantic replication
OTM'07 Proceedings of the 2007 OTM Confederated international conference on On the move to meaningful internet systems: CoopIS, DOA, ODBASE, GADA, and IS - Volume Part I
OTM'07 Proceedings of the 2007 OTM Confederated international conference on On the move to meaningful internet systems: CoopIS, DOA, ODBASE, GADA, and IS - Volume Part I
Garbage collecting the grid: a complete DGC for activities
MIDDLEWARE2007 Proceedings of the 8th ACM/IFIP/USENIX international conference on Middleware
P2P distributed cooperative work model based on JXTA platform
APPT'07 Proceedings of the 7th international conference on Advanced parallel processing technologies
On the inherent cost of atomic broadcast and multicast in wide area networks
ICDCN'08 Proceedings of the 9th international conference on Distributed computing and networking
Detection of disjunctive normal form predicate in distributed systems
ICDCN'08 Proceedings of the 9th international conference on Distributed computing and networking
Handling parameterized systems with non-atomic global conditions
VMCAI'08 Proceedings of the 9th international conference on Verification, model checking, and abstract interpretation
ThreadSanitizer: data race detection in practice
Proceedings of the Workshop on Binary Instrumentation and Applications
Formalising java's data race free guarantee
TPHOLs'07 Proceedings of the 20th international conference on Theorem proving in higher order logics
Static analysis via abstract interpretation of the happens-before memory model
TAP'08 Proceedings of the 2nd international conference on Tests and proofs
Tracking causality by visualization of multi-agent interactions using causality graphs
ProMAS'07 Proceedings of the 5th international conference on Programming multi-agent systems
Models and software model checking of a distributed file replication system
Formal methods and hybrid real-time systems
ACM Transactions on Algorithms (TALG)
PACER: proportional detection of data races
PLDI '10 Proceedings of the 2010 ACM SIGPLAN conference on Programming language design and implementation
DRFX: a simple and efficient memory model for concurrent programming languages
PLDI '10 Proceedings of the 2010 ACM SIGPLAN conference on Programming language design and implementation
Distributed computation in dynamic networks
Proceedings of the forty-second ACM symposium on Theory of computing
Proceedings of the 32nd ACM/IEEE International Conference on Software Engineering - Volume 1
KAdvice: infering synchronization patterns from an existing codebase
Proceedings of the 2nd International Workshop on Recommendation Systems for Software Engineering
Practical impact of group communication theory
Future directions in distributed computing
A data-centric approach for scalable state machine replication
Future directions in distributed computing
An uncoordinated asynchronous checkpointing model for hierarchical scientific workflows
Journal of Computer and System Sciences
Log' version vector: Logging version vectors concisely in dynamic replication
Information Processing Letters
Ordenação de eventos baseada em DSM para middlewares publish/subscribe
Companion Proceedings of the XIV Brazilian Symposium on Multimedia and the Web
Optimal bucket algorithms for large MPI collectives on torus interconnects
Proceedings of the 24th ACM International Conference on Supercomputing
Best-effort group service in dynamic networks
Proceedings of the twenty-second annual ACM symposium on Parallelism in algorithms and architectures
ACM Transactions on Computer Systems (TOCS)
LReplay: a pending period based deterministic replay scheme
Proceedings of the 37th annual international symposium on Computer architecture
Timetraveler: exploiting acyclic races for optimizing memory race recording
Proceedings of the 37th annual international symposium on Computer architecture
Event detection and correlation for network environments
IEEE Journal on Selected Areas in Communications
A flexible group communication protocol with hybrid clocks
Proceedings of the 7th International Conference on Advances in Mobile Computing and Multimedia
Networked Graphics: Building Networked Games and Virtual Environments
Networked Graphics: Building Networked Games and Virtual Environments
A timeband framework for modelling real-time systems
Real-Time Systems
Deep hypertext with embedded revision control implemented in regular expressions
Proceedings of the 6th International Symposium on Wikis and Open Collaboration
Eventually linearizable shared objects
Proceedings of the 29th ACM SIGACT-SIGOPS symposium on Principles of distributed computing
Brief announcement: complexity and solution of the send-receive correlation problem
Proceedings of the 29th ACM SIGACT-SIGOPS symposium on Principles of distributed computing
FastTrack: efficient and precise dynamic race detection
Communications of the ACM
Cell broadband engine processor performance optimization: tracing tools implementation and use
IBM Journal of Research and Development
Journal of Parallel and Distributed Computing
When consensus meets self-stabilization
Journal of Computer and System Sciences
Birds of a FETHR: open, decentralized micropublishing
IPTPS'09 Proceedings of the 8th international conference on Peer-to-peer systems
Network imprecision: a new consistency metric for scalable monitoring
OSDI'08 Proceedings of the 8th USENIX conference on Operating systems design and implementation
R2: an application-level kernel for record and replay
OSDI'08 Proceedings of the 8th USENIX conference on Operating systems design and implementation
Finding and reproducing Heisenbugs in concurrent programs
OSDI'08 Proceedings of the 8th USENIX conference on Operating systems design and implementation
Mencius: building efficient replicated state machines for WANs
OSDI'08 Proceedings of the 8th USENIX conference on Operating systems design and implementation
vNUMA: a virtual shared-memory multiprocessor
USENIX'09 Proceedings of the 2009 conference on USENIX Annual technical conference
Efficient state estimation and Byzantine behavior identification in tactical MANETs
MILCOM'09 Proceedings of the 28th IEEE conference on Military communications
Independent faults in the cloud
Proceedings of the 4th International Workshop on Large Scale Distributed Systems and Middleware
Behavior-Oriented Commitment-based Protocols
Proceedings of the 2010 conference on ECAI 2010: 19th European Conference on Artificial Intelligence
HotPar'10 Proceedings of the 2nd USENIX conference on Hot topics in parallelism
Venus: verification for untrusted cloud storage
Proceedings of the 2010 ACM workshop on Cloud computing security workshop
Implicit invocation meets safe, implicit concurrency
GPCE '10 Proceedings of the ninth international conference on Generative programming and component engineering
Proceedings of the ACM international conference companion on Object oriented programming systems languages and applications companion
Group synchronization control for haptic media in networked virtual environments
HAPTICS'04 Proceedings of the 12th international conference on Haptic interfaces for virtual environment and teleoperator systems
LEAP: lightweight deterministic multi-processor replay of concurrent java programs
Proceedings of the eighteenth ACM SIGSOFT international symposium on Foundations of software engineering
Building scalable software systems in the multicore era
Proceedings of the FSE/SDP workshop on Future of software engineering research
The failure detector abstraction
ACM Computing Surveys (CSUR)
Correct refactoring of concurrent java code
ECOOP'10 Proceedings of the 24th European conference on Object-oriented programming
Trustworthy information: concepts and mechanisms
WAIM'10 Proceedings of the 11th international conference on Web-age information management
Syncro - concurrent editing library for Google wave
ICWE'10 Proceedings of the 10th international conference on Web engineering
A Scalable and Distributed Dynamic Formal Verifier for MPI Programs
Proceedings of the 2010 ACM/IEEE International Conference for High Performance Computing, Networking, Storage and Analysis
Meaningful metrics for evaluating eventual consistency
Euro-Par'10 Proceedings of the 16th international Euro-Par conference on Parallel processing: Part II
A distributed market framework for large-scale resource sharing
EuroPar'10 Proceedings of the 16th international Euro-Par conference on Parallel processing: Part I
Improving message logging protocols scalability through distributed event logging
EuroPar'10 Proceedings of the 16th international Euro-Par conference on Parallel processing: Part I
Beyond Lamport's happened-before: on the role of time bounds in synchronous systems
DISC'10 Proceedings of the 24th international conference on Distributed computing
Implementing fault-tolerant services using state machines: beyond replication
DISC'10 Proceedings of the 24th international conference on Distributed computing
LOCKSMITH: Practical static race detection for C
ACM Transactions on Programming Languages and Systems (TOPLAS)
Middleware clocks for sensing the physical world
Proceedings of the 5th International Workshop on Middleware Tools, Services and Run-Time Support for Sensor Networks
Precise dynamic analysis for slack elasticity: adding buffering without adding bugs
EuroMPI'10 Proceedings of the 17th European MPI users' group meeting conference on Recent advances in the message passing interface
DeWiz - event-based debugging on the grid
EUROMICRO-PDP'02 Proceedings of the 10th Euromicro conference on Parallel, distributed and network-based processing
INFOCOM'96 Proceedings of the Fifteenth annual joint conference of the IEEE computer and communications societies conference on The conference on computer communications - Volume 3
A formal specification strategy for electronic commerce
IDEAS'97 Proceedings of the 1997 international conference on International database engineering and applications symposium
EURO-PDP'00 Proceedings of the 8th Euromicro conference on Parallel and distributed processing
Monitoring and debugging message passing applications with MPVisualizer
EURO-PDP'00 Proceedings of the 8th Euromicro conference on Parallel and distributed processing
IPDPS'06 Proceedings of the 20th international conference on Parallel and distributed processing
Strongly consistent global states detection using relative clock errors
ISPDC'03 Proceedings of the Second international conference on Parallel and distributed computing
Lightweight logging and recovery for distributed shared memory over virtual interface architecture
ISPDC'03 Proceedings of the Second international conference on Parallel and distributed computing
Agent based dynamic recovery protocol in distributed databases
ISPDC'03 Proceedings of the Second international conference on Parallel and distributed computing
Distributed audio recorder using smart phones and proximity connections
Computer Standards & Interfaces
Effective data-race detection for the kernel
OSDI'10 Proceedings of the 9th USENIX conference on Operating systems design and implementation
Ad hoc synchronization considered harmful
OSDI'10 Proceedings of the 9th USENIX conference on Operating systems design and implementation
Transactional consistency and automatic management in an application data cache
OSDI'10 Proceedings of the 9th USENIX conference on Operating systems design and implementation
Depot: cloud storage with minimal trust
OSDI'10 Proceedings of the 9th USENIX conference on Operating systems design and implementation
SPORC: group collaboration using untrusted cloud resources
OSDI'10 Proceedings of the 9th USENIX conference on Operating systems design and implementation
PeerMon: a peer-to-peer network monitoring system
LISA'10 Proceedings of the 24th international conference on Large installation system administration
Modeling and analyzing periodic distributed computations
SSS'10 Proceedings of the 12th international conference on Stabilization, safety, and security of distributed systems
Synoptic: summarizing system logs with refinement
SLAML'10 Proceedings of the 2010 workshop on Managing systems via log analysis and machine learning techniques
A lattice-theoretic approach to runtime property detection for pervasive context
UIC'10 Proceedings of the 7th international conference on Ubiquitous intelligence and computing
Replicated abstract data types: Building blocks for collaborative applications
Journal of Parallel and Distributed Computing
InstantCheck: Checking the Determinism of Parallel Programs Using On-the-Fly Incremental Hashing
MICRO '43 Proceedings of the 2010 43rd Annual IEEE/ACM International Symposium on Microarchitecture
Runtime verification with the RV system
RV'10 Proceedings of the First international conference on Runtime verification
Causality analysis in contract violation
RV'10 Proceedings of the First international conference on Runtime verification
Copilot: a hard real-time runtime monitor
RV'10 Proceedings of the First international conference on Runtime verification
Formalization and correctness of the PALS architectural pattern for distributed real-time systems
ICFEM'10 Proceedings of the 12th international conference on Formal engineering methods and software engineering
Distributed programming with tasks
OPODIS'10 Proceedings of the 14th international conference on Principles of distributed systems
A token-based distributed algorithm for the generalized resource allocation problem
OPODIS'10 Proceedings of the 14th international conference on Principles of distributed systems
GRace: a low-overhead mechanism for detecting data races in GPU programs
Proceedings of the 16th ACM symposium on Principles and practice of parallel programming
Cooperative reasoning for preemptive execution
Proceedings of the 16th ACM symposium on Principles and practice of parallel programming
Event-driven architecture for decision support in traffic management systems
Expert Systems with Applications: An International Journal
Achieving Data Consistency by Contextualization in Web-Based Collaborative Applications
ACM Transactions on Internet Technology (TOIT)
On the performance of distributed lock-based synchronization
ICDCN'11 Proceedings of the 12th international conference on Distributed computing and networking
Formal analysis of message passing
VMCAI'11 Proceedings of the 12th international conference on Verification, model checking, and abstract interpretation
Causality visualization using animated growing polygons
INFOVIS'03 Proceedings of the Ninth annual IEEE conference on Information visualization
Clock synchronization for wireless local area networks
Euromicro-RTS'00 Proceedings of the 12th Euromicro conference on Real-time systems
Using deterministic replay for debugging of distributed real-time systems
Euromicro-RTS'00 Proceedings of the 12th Euromicro conference on Real-time systems
Efficient processor support for DRFx, a memory model with exceptions
Proceedings of the sixteenth international conference on Architectural support for programming languages and operating systems
Parallel implementations of Brunotte's algorithm
Journal of Parallel and Distributed Computing
Repeated detection of conjunctive predicates in distributed executions
Information Processing Letters
A course in advanced operating systems using MPI for projects
Journal of Computing Sciences in Colleges
Expert Systems with Applications: An International Journal
Instrumentation-based tool for latency measurements
Proceedings of the 2nd ACM/SPEC International Conference on Performance engineering
ReConMUC: adaptable consistency requirements for efficient large-scale multi-user chat
Proceedings of the ACM 2011 conference on Computer supported cooperative work
Putting events in context: aspects for event-based distributed programming
Proceedings of the tenth international conference on Aspect-oriented software development
A scalable architecture for real-time online data access
ICDCIT'11 Proceedings of the 7th international conference on Distributed computing and internet technology
Socially responsive resource usage: a protocol
ICDCIT'11 Proceedings of the 7th international conference on Distributed computing and internet technology
Static analysis of dynamic schedules and its application to optimization of parallel programs
LCPC'10 Proceedings of the 23rd international conference on Languages and compilers for parallel computing
The Open Provenance Model core specification (v1.1)
Future Generation Computer Systems
Representing distributed systems using the Open Provenance Model
Future Generation Computer Systems
Detecting Locally Distributed Predicates
ACM Transactions on Autonomous and Adaptive Systems (TAAS)
A message-array-based mechanism for tracking control effects in supervisory control software
International Journal of Automation and Computing
Artificial Intelligence Review
Logical classification of distributed algorithms (Bakery algorithms as an example)
Theoretical Computer Science
WiDS checker: combating bugs in distributed systems
NSDI'07 Proceedings of the 4th USENIX conference on Networked systems design & implementation
Friday: global comprehension for distributed replay
NSDI'07 Proceedings of the 4th USENIX conference on Networked systems design & implementation
New & efficient low overheads algorithm for mobile distributed systems
Proceedings of the International Conference & Workshop on Emerging Trends in Technology
New & efficient low overheads algorithm for mobile distributed systems
Proceedings of the International Conference & Workshop on Emerging Trends in Technology
Extending timestamp-based two phase commit protocol for RESTful services to meet business rules
Proceedings of the 2011 ACM Symposium on Applied Computing
REME-D: a reflective epidemic message-oriented debugger for ambient-oriented applications
Proceedings of the 2011 ACM Symposium on Applied Computing
A semantically rich approach for collaborative model edition
Proceedings of the 2011 ACM Symposium on Applied Computing
A high-level language for modeling algorithms and their properties
SBMF'10 Proceedings of the 13th Brazilian conference on Formal methods: foundations and applications
A formal framework for specifying and analyzing logs as electronic evidence
SBMF'10 Proceedings of the 13th Brazilian conference on Formal methods: foundations and applications
Static analysis of run-time errors in embedded critical parallel C programs
ESOP'11/ETAPS'11 Proceedings of the 20th European conference on Programming languages and systems: part of the joint European conferences on theory and practice of software
Safe optimisations for shared-memory concurrent programs
Proceedings of the 32nd ACM SIGPLAN conference on Programming language design and implementation
The space complexity of long-lived and one-shot timestamp implementations
Proceedings of the 30th annual ACM SIGACT-SIGOPS symposium on Principles of distributed computing
The inherent difficulty of timely primary-backup replication
Proceedings of the 30th annual ACM SIGACT-SIGOPS symposium on Principles of distributed computing
Scalable RF propagation modeling on the IBM Blue Gene/L and Cray XT5 supercomputers
Winter Simulation Conference
Karma: scalable deterministic record-replay
Proceedings of the international conference on Supercomputing
Commitment-based protocols with behavioral rules and correctness properties of MAS
DALT'10 Proceedings of the 8th international conference on Declarative agent languages and technologies VIII
Distributed and Parallel Databases
Boosting distributed constraint satisfaction
Journal of Heuristics
Demand-driven software race detection using hardware performance counters
Proceedings of the 38th annual international symposium on Computer architecture
Known unknowns: time bounds and knowledge of ignorance
Proceedings of the 13th Conference on Theoretical Aspects of Rationality and Knowledge
Game-on-demand:: An online game engine based on geometry streaming
ACM Transactions on Multimedia Computing, Communications, and Applications (TOMCCAP)
Persuasive prediction of concurrency access anomalies
Proceedings of the 2011 International Symposium on Software Testing and Analysis
OFRewind: enabling record and replay troubleshooting for networks
USENIXATC'11 Proceedings of the 2011 USENIX conference on USENIX annual technical conference
Eyo: device-transparent personal storage
USENIXATC'11 Proceedings of the 2011 USENIX conference on USENIX annual technical conference
Program analysis for event-based distributed systems
Proceedings of the 5th ACM international conference on Distributed event-based system
Non functional properties of event porcessing
Proceedings of the 5th ACM international conference on Distributed event-based system
Concurrency-oriented verification and coverage of system-level designs
ACM Transactions on Design Automation of Electronic Systems (TODAES)
On the performance of distributed lock-based synchronization?
ACM SIGOPS Operating Systems Review
Future Generation Computer Systems
Design of a hierarchical group to realize a scalable group
Journal of Mobile Multimedia
A timestamp-based two phase commit protocol for web services using rest architectural style
Journal of Web Engineering
Computational Biology and Chemistry
Causal cycle based communication pattern matching
ICDCN'10 Proceedings of the 11th international conference on Distributed computing and networking
Global state estimates for distributed systems
FMOODS'11/FORTE'11 Proceedings of the joint 13th IFIP WG 6.1 and 30th IFIP WG 6.1 international conference on Formal techniques for distributed systems
Asynchronous lease-based replication of software transactional memory
Proceedings of the ACM/IFIP/USENIX 11th International Conference on Middleware
Litmus tests for comparing memory consistency models: how long do they need to be?
Proceedings of the 48th Design Automation Conference
The Asynchronous Bounded-Cycle model
Theoretical Computer Science
Information-driven interaction-oriented programming: BSPL, the blindingly simple protocol language
The 10th International Conference on Autonomous Agents and Multiagent Systems - Volume 2
Using vector clocks to monitor dependencies among services at runtime
Proceedings of the International Workshop on Quality Assurance for Service-Based Applications
A framework-based runtime monitoring approach for service-oriented software systems
Proceedings of the International Workshop on Quality Assurance for Service-Based Applications
Multicast with aggregated deliveries
Proceedings of the First International Workshop on Algorithms and Models for Distributed Event Processing
Accelerating data race detection with minimal hardware support
Euro-Par'11 Proceedings of the 17th international conference on Parallel processing - Volume Part I
Correlated set coordination in fault tolerant message logging protocols
Euro-Par'11 Proceedings of the 17th international conference on Parallel processing - Volume Part II
Symbolic modular deadlock analysis
Automated Software Engineering
An Automatic Presence Service for Low Duty-Cycled Mobile Sensor Networks
Mobile Networks and Applications
Virtual time and timeout in client-server networks
ICTAC'11 Proceedings of the 8th international conference on Theoretical aspects of computing
PipeCloud: using causality to overcome speed-of-light delays in cloud-based disaster recovery
Proceedings of the 2nd ACM Symposium on Cloud Computing
CONCUR'11 Proceedings of the 22nd international conference on Concurrency theory
An efficient static trace simplification technique for debugging concurrent programs
SAS'11 Proceedings of the 18th international conference on Static analysis
Floguard: cost-aware systemwide intrusion defense via online forensics and on-demand IDS deployment
SAFECOMP'11 Proceedings of the 30th international conference on Computer safety, reliability, and security
Order preserving event aggregation in TBONs
EuroMPI'11 Proceedings of the 18th European MPI Users' Group conference on Recent advances in the message passing interface
Formal analysis of MPI-based parallel programs
Communications of the ACM
Efficient deterministic multithreading through schedule relaxation
SOSP '11 Proceedings of the Twenty-Third ACM Symposium on Operating Systems Principles
Pervasive detection of process races in deployed systems
SOSP '11 Proceedings of the Twenty-Third ACM Symposium on Operating Systems Principles
Detecting and surviving data races using complementary schedules
SOSP '11 Proceedings of the Twenty-Third ACM Symposium on Operating Systems Principles
Don't settle for eventual: scalable causal consistency for wide-area storage with COPS
SOSP '11 Proceedings of the Twenty-Third ACM Symposium on Operating Systems Principles
Relativistic Causality and Clockless Circuits
ACM Journal on Emerging Technologies in Computing Systems (JETC)
JTangCSPS: A composite and semantic publish/subscribe system over structured P2P networks
Engineering Applications of Artificial Intelligence
ACM SIGSOFT Software Engineering Notes
SOS: saving time in dynamic race detection with stationary analysis
Proceedings of the 2011 ACM international conference on Object oriented programming systems languages and applications
Conflict-free replicated data types
SSS'11 Proceedings of the 13th international conference on Stabilization, safety, and security of distributed systems
A native and adaptive approach for unified processing of linked streams and linked data
ISWC'11 Proceedings of the 10th international conference on The semantic web - Volume Part I
Evaluating the viability of process replication reliability for exascale systems
Proceedings of 2011 International Conference for High Performance Computing, Networking, Storage and Analysis
Efficient data race detection for distributed memory parallel programs
Proceedings of 2011 International Conference for High Performance Computing, Networking, Storage and Analysis
Depot: Cloud Storage with Minimal Trust
ACM Transactions on Computer Systems (TOCS)
Ten years of analyzing actors: Rebeca experience
Formal modeling
A Deterministic Interpreter Simulating A Distributed real time system using VDM
ICFEM'11 Proceedings of the 13th international conference on Formal methods and software engineering
Dynamic data race detection for correlated variables
ICA3PP'11 Proceedings of the 11th international conference on Algorithms and architectures for parallel processing - Volume Part I
Redflag: a framework for analysis of Kernel-level concurrency
ICA3PP'11 Proceedings of the 11th international conference on Algorithms and architectures for parallel processing - Volume Part I
Formal methods as a link between software code and legal rules
SEFM'11 Proceedings of the 9th international conference on Software engineering and formal methods
QoS-enabled distributed mutual exclusion in public clouds
OTM'11 Proceedings of the 2011th Confederated international conference on On the move to meaningful internet systems - Volume Part II
Analysis of a clock synchronization protocol for wireless sensor networks
Theoretical Computer Science
SIAM Journal on Computing
Decentralized message ordering for publish/subscribe systems
Middleware'06 Proceedings of the 7th ACM/IFIP/USENIX international conference on Middleware
When consensus meets self-stabilization
OPODIS'06 Proceedings of the 10th international conference on Principles of Distributed Systems
Optimistic algorithms for partial database replication
OPODIS'06 Proceedings of the 10th international conference on Principles of Distributed Systems
OPODIS'06 Proceedings of the 10th international conference on Principles of Distributed Systems
Empire of Colonies: self-stabilizing and self-organizing distributed algorithms
OPODIS'06 Proceedings of the 10th international conference on Principles of Distributed Systems
Quorum based distributed conflict resolution algorithm for bounded capacity resources
ISPA'06 Proceedings of the 2006 international conference on Frontiers of High Performance Computing and Networking
Synthesis of concurrent and distributed adaptors for component-based systems
EWSA'06 Proceedings of the Third European conference on Software Architecture
ICDCN'06 Proceedings of the 8th international conference on Distributed Computing and Networking
DonorList: a new distributed channel allocation scheme for cellular networks
PWC'06 Proceedings of the 11th IFIP TC6 international conference on Personal Wireless Communications
Querying context maps using relative timing predicates in pervasive environments
Proceedings of the 6th International Workshop on Middleware Tools, Services and Run-time Support for Networked Embedded Systems
Modeling and verification of MPI based distributed software
EuroPVM/MPI'06 Proceedings of the 13th European PVM/MPI User's Group conference on Recent advances in parallel virtual machine and message passing interface
Checkpointing and communication pattern-neutral algorithm for removing messages logged by senders
HPCC'06 Proceedings of the Second international conference on High Performance Computing and Communications
On a NIC's operating system, schedulers and high-performance networking applications
HPCC'06 Proceedings of the Second international conference on High Performance Computing and Communications
Guarantees for decentralized event correlation
Proceedings of the 8th Middleware Doctoral Symposium
Lightweight causal cluster consistency
IICS'05 Proceedings of the 5th international conference on Innovative Internet Community Systems
Using lamport's logical clocks to consolidate log files from different sources
IICS'05 Proceedings of the 5th international conference on Innovative Internet Community Systems
A review of race detection mechanisms
CSR'06 Proceedings of the First international computer science conference on Theory and Applications
Towards an optimistic management of concurrency: a probabilistic study of the pilgrim protocol
CSCWD'05 Proceedings of the 9th international conference on Computer Supported Cooperative Work in Design II
Self-stabilizing space optimal synchronization algorithms on trees
SIROCCO'06 Proceedings of the 13th international conference on Structural Information and Communication Complexity
Safe composition of distributed programs communicating over order-preserving imperfect channels
IWDC'05 Proceedings of the 7th international conference on Distributed Computing
An asynchronous recovery algorithm based on a staggered quasi-synchronous checkpointing algorithm
IWDC'05 Proceedings of the 7th international conference on Distributed Computing
Deadlock-Free distributed relaxed mutual-exclusion without revoke-messages
IWDC'05 Proceedings of the 7th international conference on Distributed Computing
Integrating the ROMIOP and ETF specifications for atomic multicast in CORBA
OTM'05 Proceedings of the 2005 Confederated international conference on On the Move to Meaningful Internet Systems - Volume >Part I
Self-stabilization preserving compiler
SSS'05 Proceedings of the 7th international conference on Self-Stabilizing Systems
Performance of fair distributed mutual exclusion algorithms
IWDC'04 Proceedings of the 6th international conference on Distributed Computing
Frame rate control in distributed game engine
ICEC'05 Proceedings of the 4th international conference on Entertainment Computing
ICA3PP'05 Proceedings of the 6th international conference on Algorithms and Architectures for Parallel Processing
Clock synchronization state graphs based on clock precision difference
ICA3PP'05 Proceedings of the 6th international conference on Algorithms and Architectures for Parallel Processing
Sound predictive race detection in polynomial time
POPL '12 Proceedings of the 39th annual ACM SIGPLAN-SIGACT symposium on Principles of programming languages
Asynchronous perfectly secure communication over one-time pads
ICALP'05 Proceedings of the 32nd international conference on Automata, Languages and Programming
Performance profiling overhead compensation for MPI programs
PVM/MPI'05 Proceedings of the 12th European PVM/MPI users' group conference on Recent Advances in Parallel Virtual Machine and Message Passing Interface
Trace-based parallel performance overhead compensation
HPCC'05 Proceedings of the First international conference on High Performance Computing and Communications
PDCAT'04 Proceedings of the 5th international conference on Parallel and Distributed Computing: applications and Technologies
PDCAT'04 Proceedings of the 5th international conference on Parallel and Distributed Computing: applications and Technologies
Detecting and Coordinating Complex Patterns of Distributed Events with KETAL
Electronic Notes in Theoretical Computer Science (ENTCS)
Immediate detection of predicates in pervasive environments
Journal of Parallel and Distributed Computing
Transparent and scalable terminal mobility for vehicular networks
Computer Networks: The International Journal of Computer and Telecommunications Networking
Predicate detection using event streams in ubiquitous environments
EUC'05 Proceedings of the 2005 international conference on Embedded and Ubiquitous Computing
Global state detection based on peer-to-peer interactions
EUC'05 Proceedings of the 2005 international conference on Embedded and Ubiquitous Computing
Nonintrusive snapshots using thin slices
EUC'05 Proceedings of the 2005 international conference on Embedded and Ubiquitous Computing
Group communication: from practice to theory
SOFSEM'06 Proceedings of the 32nd conference on Current Trends in Theory and Practice of Computer Science
High speed packet logging on a budget
NETWORKING'06 Proceedings of the 5th international IFIP-TC6 conference on Networking Technologies, Services, and Protocols; Performance of Computer and Communication Networks; Mobile and Wireless Communications Systems
Dynamic fault tolerance in distributed simulation system
ICCS'06 Proceedings of the 6th international conference on Computational Science - Volume Part I
The synthesis problem of netcharts
ICATPN'06 Proceedings of the 27th international conference on Applications and Theory of Petri Nets and Other Models of Concurrency
Static detection of race conditions in erlang
PADL'10 Proceedings of the 12th international conference on Practical Aspects of Declarative Languages
A distributed intrusion detection approach for secure software architecture
EWSA'05 Proceedings of the 2nd European conference on Software Architecture
Wireless sensor networks: a scalable time synchronization
ICCSA'06 Proceedings of the 2006 international conference on Computational Science and Its Applications - Volume Part IV
Clock synchronization in IEEE 802.11 ad hoc networks
CIT'04 Proceedings of the 7th international conference on Intelligent Information Technology
Towards correct distributed simulation of high-level petri nets with fine-grained partitioning
ISPA'04 Proceedings of the Second international conference on Parallel and Distributed Processing and Applications
Event chain clocks for performance debugging in parallel and distributed systems
ISPA'04 Proceedings of the Second international conference on Parallel and Distributed Processing and Applications
Monitoring stable properties in dynamic peer-to-peer distributed systems
FSTTCS '05 Proceedings of the 25th international conference on Foundations of Software Technology and Theoretical Computer Science
Run-time switching between total order algorithms
Euro-Par'06 Proceedings of the 12th international conference on Parallel Processing
Low-latency atomic broadcast in the presence of contention
DISC'06 Proceedings of the 20th international conference on Distributed Computing
Brief announcement: many slices are better than one
DISC'06 Proceedings of the 20th international conference on Distributed Computing
PerWiz: a what-if prediction tool for tuning message passing programs
VECPAR'04 Proceedings of the 6th international conference on High Performance Computing for Computational Science
Fast convex closure for efficient predicate detection
Euro-Par'05 Proceedings of the 11th international Euro-Par conference on Parallel Processing
A fault-tolerant token-based mutual exclusion algorithm using a dynamic tree
Euro-Par'05 Proceedings of the 11th international Euro-Par conference on Parallel Processing
GPC'10 Proceedings of the 5th international conference on Advances in Grid and Pervasive Computing
A constraint-based formalism for consistency in replicated systems
OPODIS'04 Proceedings of the 8th international conference on Principles of Distributed Systems
Analyzing convergence in consistency models for distributed objects
OPODIS'04 Proceedings of the 8th international conference on Principles of Distributed Systems
Proceedings of the ACM 2012 conference on Computer Supported Cooperative Work
FCache: a system for cache coherent processing on FPGAs
Proceedings of the ACM/SIGDA international symposium on Field Programmable Gate Arrays
iSAN: an intelligent storage area network architecture
HiPC'04 Proceedings of the 11th international conference on High Performance Computing
Aikido: accelerating shared data dynamic analyses
ASPLOS XVII Proceedings of the seventeenth international conference on Architectural Support for Programming Languages and Operating Systems
Data races vs. data race bugs: telling the difference with portend
ASPLOS XVII Proceedings of the seventeenth international conference on Architectural Support for Programming Languages and Operating Systems
A new fast algorithm for connecting the INET simulation framework to applications in real-time
Proceedings of the 4th International ICST Conference on Simulation Tools and Techniques
Determining consistent states of distributed objects participating in a remote method call
ICCS'05 Proceedings of the 5th international conference on Computational Science - Volume Part I
PARADIS: analysis of transaction-based applications in distributed environments
ICCS'05 Proceedings of the 5th international conference on Computational Science - Volume Part II
Omnipresent collaborative virtual environments for open inventor applications
INTETAIN'05 Proceedings of the First international conference on Intelligent Technologies for Interactive Entertainment
LCPC'09 Proceedings of the 22nd international conference on Languages and Compilers for Parallel Computing
Proceedings of the 44th Annual IEEE/ACM International Symposium on Microarchitecture
A practical distributed mutual exclusion protocol in dynamic peer-to-peer systems
IPTPS'04 Proceedings of the Third international conference on Peer-to-Peer Systems
Multiplexing of partially ordered events
TestCom'05 Proceedings of the 17th IFIP TC6/WG 6.1 international conference on Testing of Communicating Systems
On conspiracies and hyperfairness in distributed computing
DISC'05 Proceedings of the 19th international conference on Distributed Computing
Proving atomicity: an assertional approach
DISC'05 Proceedings of the 19th international conference on Distributed Computing
Plausible clocks with bounded inaccuracy
DISC'05 Proceedings of the 19th international conference on Distributed Computing
Causing communication closure: safe program composition with Non-FIFO channels
DISC'05 Proceedings of the 19th international conference on Distributed Computing
Parsimony-Based approach for obtaining resource-efficient and trustworthy execution
LADC'05 Proceedings of the Second Latin-American conference on Dependable Computing
Deriving secrecy in key establishment protocols
ESORICS'06 Proceedings of the 11th European conference on Research in Computer Security
Distributed fault tolerant controllers
DAIS'10 Proceedings of the 10th IFIP WG 6.1 international conference on Distributed Applications and Interoperable Systems
Two abstractions for implementing atomic objects in dynamic systems
OPODIS'05 Proceedings of the 9th international conference on Principles of Distributed Systems
FM'06 Proceedings of the 14th international conference on Formal Methods
Architecting Dependable Systems III
Dependable Systems
Formalising reconciliation in partitionable networks with distributed services
Rigorous Development of Complex Fault-Tolerant Systems
Detecting unaffected message races in parallel programs
GPC'06 Proceedings of the First international conference on Advances in Grid and Pervasive Computing
Using sequential debugging techniques with massively parallel programs
ICCS'06 Proceedings of the 6th international conference on Computational Science - Volume Part II
FATES'05 Proceedings of the 5th international conference on Formal Approaches to Software Testing
Consistency models for replicated data
Replication
State machine replication with byzantine faults
Replication
From object replication to database replication
Replication
Compositionality: ontology and mereology of domains
Concurrency, Compositionality, and Correctness
Modified raymond's algorithm for priority (MRA-P) based mutual exclusion in distributed systems
ICDCIT'06 Proceedings of the Third international conference on Distributed Computing and Internet Technology
Dynamic primary copy with piggy-backing mechanism for replicated UDDI registry
ICDCIT'06 Proceedings of the Third international conference on Distributed Computing and Internet Technology
Inconsistency evaluation in a replicated IP-Based call control system
ISAS'06 Proceedings of the Third international conference on Service Availability
Topology-Sensitive epidemic algorithm for information spreading in large-scale systems
ISPA'06 Proceedings of the 4th international conference on Parallel and Distributed Processing and Applications
Correctness properties for multiagent systems
DALT'09 Proceedings of the 7th international conference on Declarative Agent Languages and Technologies
Proceedings of the Seventh Annual Workshop on Cyber Security and Information Intelligence Research
Monitoring for hierarchical web services compositions
TES'05 Proceedings of the 6th international conference on Technologies for E-Services
Analysis of interval-based global state detection
ICDCIT'05 Proceedings of the Second international conference on Distributed Computing and Internet Technology
The evolving landscape of data management in the cloud
International Journal of Computational Science and Engineering
Ramos: Concurrent writing and reconfiguration for collaborative systems
Journal of Parallel and Distributed Computing
Info-based approach in distributed mutual exclusion algorithms
Journal of Parallel and Distributed Computing
OPODIS'11 Proceedings of the 15th international conference on Principles of Distributed Systems
Byzantine fault-tolerance with commutative commands
OPODIS'11 Proceedings of the 15th international conference on Principles of Distributed Systems
A protocol for the atomic capture of multiple molecules on large scale platforms
ICDCN'12 Proceedings of the 13th international conference on Distributed Computing and Networking
Dedalus: datalog in time and space
Datalog'10 Proceedings of the First international conference on Datalog Reloaded
A uniform classification of common concurrency errors
EUROCAST'11 Proceedings of the 13th international conference on Computer Aided Systems Theory - Volume Part I
Design of a scalable reasoning engine for distributed, real-time and embedded systems
KSEM'11 Proceedings of the 5th international conference on Knowledge Science, Engineering and Management
PAS2P tool, parallel application signature for performance prediction
PARA'10 Proceedings of the 10th international conference on Applied Parallel and Scientific Computing - Volume Part I
Knowledge as a window into distributed coordination
ICDCIT'12 Proceedings of the 8th international conference on Distributed Computing and Internet Technology
Processing flows of information: From data stream to complex event processing
ACM Computing Surveys (CSUR)
Security goals and protocol transformations
TOSCA'11 Proceedings of the 2011 international conference on Theory of Security and Applications
FAIDECS: fair decentralized event correlation
Middleware'11 Proceedings of the 12th ACM/IFIP/USENIX international conference on Middleware
Acculock: Accurate and efficient detection of data races
CGO '11 Proceedings of the 9th Annual IEEE/ACM International Symposium on Code Generation and Optimization
Scalability of write-ahead logging on multicore and multisocket hardware
The VLDB Journal — The International Journal on Very Large Data Bases
From the Happened-Before Relation to the Causal Ordered Set Abstraction
Journal of Parallel and Distributed Computing
Serving large-scale batch computed data with project Voldemort
FAST'12 Proceedings of the 10th USENIX conference on File and Storage Technologies
Probabilistically bounded staleness for practical partial quorums
Proceedings of the VLDB Endowment
Events, causality and symmetry
VoCS'08 Proceedings of the 2008 international conference on Visions of Computer Science: BCS International Academic Conference
An approach to debug interactions in multi-agent system software tests
Information Sciences: an International Journal
State inference for dynamically changing interfaces
Computer Languages
Research: Design of broadcast programming primitives for distributed systems
Computer Communications
Computer Communications
Recovery approach to the design of stabilizing communication protocols
Computer Communications
Research: Debugging tool for distributed Estelle programs
Computer Communications
Research: Cooperative multimedia editing tool for enhanced group communication
Computer Communications
An ordered and reliable broadcast protocol for distributed systems
Computer Communications
A tool for layered analysing and understanding of distributed programs
Computer Communications
Research: Significantly ordered delivery of messages in group communication
Computer Communications
Race detection for web applications
Proceedings of the 33rd ACM SIGPLAN conference on Programming Language Design and Implementation
Verifying GPU kernels by test amplification
Proceedings of the 33rd ACM SIGPLAN conference on Programming Language Design and Implementation
Proceedings of the 5th International ICST Conference on Simulation Tools and Techniques
Theoretical Computer Science
Future Generation Computer Systems
Causally ordered delivery of multimedia objects
Computer Communications
Efficient distributed channel allocation for cellular networks
Computer Communications
Dynamical grouping model for distributed real time causal ordering
Computer Communications
Reasoning about layered message passing systems
Computer Languages, Systems and Structures
Digital Investigation: The International Journal of Digital Forensics & Incident Response
An approach to testing specifications
Journal of Systems and Software
High-level debugging of distributed systems: The behavioral abstraction approach
Journal of Systems and Software
Dynamic information-based scalable hashing on a cluster of web cache servers
Concurrency and Computation: Practice & Experience
Unification of relative time frames for digital forensics
Digital Investigation: The International Journal of Digital Forensics & Incident Response
A functional reference model of passive systems for tracing network traffic
Digital Investigation: The International Journal of Digital Forensics & Incident Response
Service Level Agreement for Distributed Mutual Exclusion in Cloud Computing
CCGRID '12 Proceedings of the 2012 12th IEEE/ACM International Symposium on Cluster, Cloud and Grid Computing (ccgrid 2012)
U.S. Army Modeling and Simulation Executable Architecture Deployment Cloud Virtualization Strategy
CCGRID '12 Proceedings of the 2012 12th IEEE/ACM International Symposium on Cluster, Cloud and Grid Computing (ccgrid 2012)
Shadow attacks: automatically evading system-call-behavior based malware detection
Journal in Computer Virology
Brief announcement: reconfigurable state machine replication from non-reconfigurable building blocks
PODC '12 Proceedings of the 2012 ACM symposium on Principles of distributed computing
PODC '12 Proceedings of the 2012 ACM symposium on Principles of distributed computing
Survey of state melding in virtual worlds
ACM Computing Surveys (CSUR)
Proceedings of the 2012 International Symposium on Software Testing and Analysis
On-the-fly detection of data races in OpenMP programs
Proceedings of the 2012 Workshop on Parallel and Distributed Systems: Testing, Analysis, and Debugging
Formalization and correctness of the PALS architectural pattern for distributed real-time systems
Theoretical Computer Science
RADISH: always-on sound and complete Ra Detection in Software and Hardware
Proceedings of the 39th Annual International Symposium on Computer Architecture
Inferring class level specifications for distributed systems
Proceedings of the 34th International Conference on Software Engineering
Predicting concurrency failures in the generalized execution traces of x86 executables
RV'11 Proceedings of the Second international conference on Runtime verification
Dynamic race detection with LLVM compiler
RV'11 Proceedings of the Second international conference on Runtime verification
A gossip-based mutual exclusion algorithm for cloud environments
GPC'12 Proceedings of the 7th international conference on Advances in Grid and Pervasive Computing
HotPar'12 Proceedings of the 4th USENIX conference on Hot Topics in Parallelism
Hybrid cloud support for large scale analytics and web processing
WebApps'12 Proceedings of the 3rd USENIX conference on Web Application Development
A framework for pathologies of message sequence charts
Information and Software Technology
Semantics and verification of information-based protocols
Proceedings of the 11th International Conference on Autonomous Agents and Multiagent Systems - Volume 2
A multi-cycle checkpointing protocol that ensures strict 1-rollback
Information Processing Letters
Performance analysis of SCOOP programs
Journal of Systems and Software
Fundamenta Informaticae - Logic, Language, Information and Computation
ADHOC-NOW'12 Proceedings of the 11th international conference on Ad-hoc, Mobile, and Wireless Networks
LICS '12 Proceedings of the 2012 27th Annual IEEE/ACM Symposium on Logic in Computer Science
Lock removal for concurrent trace programs
CAV'12 Proceedings of the 24th international conference on Computer Aided Verification
Security'12 Proceedings of the 21st USENIX conference on Security symposium
Declarative distributed computing
Correct Reasoning
McPatom: a predictive analysis tool for atomicity violation using model checking
SPIN'12 Proceedings of the 19th international conference on Model Checking Software
Parallel discrete event simulation with Erlang
Proceedings of the 1st ACM SIGPLAN workshop on Functional high-performance computing
Dissemination of reconfiguration policies on mesh networks
DAIS'12 Proceedings of the 12th IFIP WG 6.1 international conference on Distributed Applications and Interoperable Systems
TransDPOR: a novel dynamic partial-order reduction technique for testing actor programs
FMOODS'12/FORTE'12 Proceedings of the 14th joint IFIP WG 6.1 international conference and Proceedings of the 32nd IFIP WG 6.1 international conference on Formal Techniques for Distributed Systems
Scoped synchronization constraints for large scale actor systems
COORDINATION'12 Proceedings of the 14th international conference on Coordination Models and Languages
TimeSquare: treat your models with logical time
TOOLS'12 Proceedings of the 50th international conference on Objects, Models, Components, Patterns
Agreement in directed dynamic networks
SIROCCO'12 Proceedings of the 19th international conference on Structural Information and Communication Complexity
Graph Transformation with Time
Fundamenta Informaticae - The First International Conference on Graph Transformation (ICGT 2002)
Types for Active Objects with Static Deadlock Prevention
Fundamenta Informaticae
Vector Time Management Based on Topology Information for HLA/RTI
PADS '12 Proceedings of the 2012 ACM/IEEE/SCS 26th Workshop on Principles of Advanced and Distributed Simulation
From clarity to efficiency for distributed algorithms
Proceedings of the ACM international conference on Object oriented programming systems languages and applications
IFRit: interference-free regions for dynamic data-race detection
Proceedings of the ACM international conference on Object oriented programming systems languages and applications
Exclusive Access to Resources in Distributed Shared Memory Architecture
Fundamenta Informaticae - Concurrency Specification and Programming (CS&P)
All about Eve: execute-verify replication for multi-core servers
OSDI'12 Proceedings of the 10th USENIX conference on Operating Systems Design and Implementation
Making geo-replicated systems fast as possible, consistent when necessary
OSDI'12 Proceedings of the 10th USENIX conference on Operating Systems Design and Implementation
The Inherent Difficulty of Timely Primary-Backup Replication
Bell Labs Technical Journal
Parametric flows: automated behavior equivalencing for symbolic analysis of races in CUDA programs
SC '12 Proceedings of the International Conference on High Performance Computing, Networking, Storage and Analysis
Authenticating operation-based history in collaborative systems
Proceedings of the 17th ACM international conference on Supporting group work
The potential dangers of causal consistency and an explicit solution
Proceedings of the Third ACM Symposium on Cloud Computing
Euro-Par'07 Proceedings of the 13th international Euro-Par conference on Parallel Processing
Proceedings of the Second International Conference on Computational Science, Engineering and Information Technology
The space complexity of unbounded timestamps
DISC'07 Proceedings of the 21st international conference on Distributed Computing
Detecting temporal logic predicates on distributed computations
DISC'07 Proceedings of the 21st international conference on Distributed Computing
A mutual exclusion algorithm for mobile agents-based applications
ISPA'07 Proceedings of the 5th international conference on Parallel and Distributed Processing and Applications
ISPA'07 Proceedings of the 5th international conference on Parallel and Distributed Processing and Applications
Timestamp synchronization for event traces of large-scale message-passing applications
PVM/MPI'07 Proceedings of the 14th European conference on Recent Advances in Parallel Virtual Machine and Message Passing Interface
Seshat collects MPI traces: extended abstract
PVM/MPI'07 Proceedings of the 14th European conference on Recent Advances in Parallel Virtual Machine and Message Passing Interface
Nonintrusive collection and management of data provenance in scientific workflows
Concurrency and Computation: Practice & Experience
Asynchronous event detection for context inconsistency in pervasive computing
International Journal of Ad Hoc and Ubiquitous Computing
Specifying stateful asynchronous properties for distributed programs
CONCUR'12 Proceedings of the 23rd international conference on Concurrency Theory
Intensional and extensional characterisation of global progress in the π-calculus
CONCUR'12 Proceedings of the 23rd international conference on Concurrency Theory
Inferring networked system models from behavior traces
Proceedings of the 2012 ACM conference on CoNEXT student workshop
Proceedings of the 2012 workshop on New security paradigms
High-Level executable specifications of distributed algorithms
SSS'12 Proceedings of the 14th international conference on Stabilization, Safety, and Security of Distributed Systems
FAIDECS: fair decentralized event correlation
Proceedings of the 12th International Middleware Conference
Edge chasing delayed consistency: pushing the limits of weak memory models
Proceedings of the 2012 ACM workshop on Relaxing synchronization for multicore and manycore scalability
Hi-Fi: collecting high-fidelity whole-system provenance
Proceedings of the 28th Annual Computer Security Applications Conference
A New Link Failure Resilient Priority Based Fair Mutual Exclusion Algorithm for Distributed Systems
Journal of Network and Systems Management
Predicting serializability violations: SMT-Based search vs. DPOR-Based search
HVC'11 Proceedings of the 7th international Haifa Verification conference on Hardware and Software: verification and testing
A composable mixed mode concurrency control semantics for transactional programs
ICFEM'12 Proceedings of the 14th international conference on Formal Engineering Methods: formal methods and software engineering
Plan B: a buffered memory model for Java
POPL '13 Proceedings of the 40th annual ACM SIGPLAN-SIGACT symposium on Principles of programming languages
Functional post-silicon diagnosis and debug for networks-on-chip
Proceedings of the International Conference on Computer-Aided Design
Temporal Event Ordering with Fault Tolerance for Wireless Sensor and Actuator Networks
Wireless Personal Communications: An International Journal
Abstracting context in event-based software
Transactions on Aspect-Oriented Software Development IX
Enhancing group communication with self-manageable behavior
Journal of Parallel and Distributed Computing
Multicasting in the presence of aggregated deliveries
Journal of Parallel and Distributed Computing
Foundations of distributed multiscale computing: Formalization, specification, and analysis
Journal of Parallel and Distributed Computing
A Transformation Framework for Optimizing Task-Parallel Programs
ACM Transactions on Programming Languages and Systems (TOPLAS)
A model-based synthesis process for choreography realizability enforcement
FASE'13 Proceedings of the 16th international conference on Fundamental Approaches to Software Engineering
Extending the scope of the controlled logical clock
Cluster Computing
Spanders: Distributed spanning expanders
Science of Computer Programming
Computer Networks: The International Journal of Computer and Telecommunications Networking
Proceedings of the 2013 ACM SIGMOD International Conference on Management of Data
Rethinking eventual consistency
Proceedings of the 2013 ACM SIGMOD International Conference on Management of Data
ChainReaction: a causal+ consistent datastore based on chain replication
Proceedings of the 8th ACM European Conference on Computer Systems
Replication for send-deterministic MPI HPC applications
Proceedings of the 3rd Workshop on Fault-tolerance for HPC at extreme scale
Proceedings of the 18th International Conference on 3D Web Technology
Performance Comparison of Two Channel Allocation Strategies in Cellular Networks
Wireless Personal Communications: An International Journal
D-Zipfian: a decentralized implementation of Zipfian
Proceedings of the Sixth International Workshop on Testing Database Systems
Input data organization for batch processing in time window based computations
Proceedings of the 28th Annual ACM Symposium on Applied Computing
MoSQL: an elastic storage engine for MySQL
Proceedings of the 28th Annual ACM Symposium on Applied Computing
Stronger semantics for low-latency geo-replicated storage
nsdi'13 Proceedings of the 10th USENIX conference on Networked Systems Design and Implementation
Consistent and efficient output-streams management in optimistic simulation platforms
Proceedings of the 2013 ACM SIGSIM conference on Principles of advanced discrete simulation
Link prediction with social vector clocks
Proceedings of the 19th ACM SIGKDD international conference on Knowledge discovery and data mining
Finding incorrect compositions of atomicity
Proceedings of the 2013 9th Joint Meeting on Foundations of Software Engineering
Static analysis of concurrent programs by adapted vector clock
Proceedings of the International C* Conference on Computer Science and Software Engineering
Common Knowledge in Email Exchanges
ACM Transactions on Computational Logic (TOCL)
Cooperative security in distributed networks
Computer Communications
State-based model slicing: A survey
ACM Computing Surveys (CSUR)
SPBC: leveraging the characteristics of MPI HPC applications for scalable checkpointing
SC '13 Proceedings of the International Conference on High Performance Computing, Networking, Storage and Analysis
Adaptive atomic capture of multiple molecules
Journal of Parallel and Distributed Computing
The Journal of Supercomputing
Input-covering schedules for multithreaded programs
Proceedings of the 2013 ACM SIGPLAN international conference on Object oriented programming systems languages & applications
CDSchecker: checking concurrent data structures written with C/C++ atomics
Proceedings of the 2013 ACM SIGPLAN international conference on Object oriented programming systems languages & applications
OCTET: capturing and controlling cross-thread dependences efficiently
Proceedings of the 2013 ACM SIGPLAN international conference on Object oriented programming systems languages & applications
International Journal of Grid and High Performance Computing
A distributed hierarchical clustering algorithm for large-scale dynamic networks
Proceedings of the 8th ACM workshop on Performance monitoring and measurement of heterogeneous wireless and wired networks
Proceedings of the Twenty-Fourth ACM Symposium on Operating Systems Principles
ACM SIGOPS 24th Symposium on Operating Systems Principles
From ARIES to MARS: transaction support for next-generation, solid-state drives
Proceedings of the Twenty-Fourth ACM Symposium on Operating Systems Principles
Consistency-based service level agreements for cloud storage
Proceedings of the Twenty-Fourth ACM Symposium on Operating Systems Principles
RaceMob: crowdsourced data race detection
Proceedings of the Twenty-Fourth ACM Symposium on Operating Systems Principles
Leveraging sharding in the design of scalable replication protocols
Proceedings of the 4th annual Symposium on Cloud Computing
Orbe: scalable causal consistency using dependency matrices and physical clocks
Proceedings of the 4th annual Symposium on Cloud Computing
RedCard: redundant check elimination for dynamic race detectors
ECOOP'13 Proceedings of the 27th European conference on Object-Oriented Programming
The design and implementation of clocked variables in X10
ACSC '13 Proceedings of the Thirty-Sixth Australasian Computer Science Conference - Volume 135
Partial orders for efficient bounded model checking of concurrent software
CAV'13 Proceedings of the 25th international conference on Computer Aided Verification
DBSec'13 Proceedings of the 27th international conference on Data and Applications Security and Privacy XXVII
Achieving convergence in operational transformation: conditions, mechanisms and systems
Proceedings of the 17th ACM conference on Computer supported cooperative work & social computing
Exhaustive search of puzzles in operational transformation
Proceedings of the 17th ACM conference on Computer supported cooperative work & social computing
Replicated data types: specification, verification, optimality
Proceedings of the 41st ACM SIGPLAN-SIGACT Symposium on Principles of Programming Languages
CORFU: A distributed shared log
ACM Transactions on Computer Systems (TOCS)
MillWheel: fault-tolerant stream processing at internet scale
Proceedings of the VLDB Endowment
Low-level detection of language-level data races with LARD
Proceedings of the 19th international conference on Architectural support for programming languages and operating systems
ACM Transactions on Embedded Computing Systems (TECS) - Special Section ESFH'12, ESTIMedia'11 and Regular Papers
Trace driven dynamic deadlock detection and reproduction
Proceedings of the 19th ACM SIGPLAN symposium on Principles and practice of parallel programming
Extracting logical structure and identifying stragglers in parallel execution traces
Proceedings of the 19th ACM SIGPLAN symposium on Principles and practice of parallel programming
The Space Complexity of Long-Lived and One-Shot Timestamp Implementations
Journal of the ACM (JACM)
Formal verification of distributed dynamic thermal management
Proceedings of the International Conference on Computer-Aided Design
Fair packet scheduling in Wireless Mesh Networks
Ad Hoc Networks
Detecting stable locality-aware predicates
Journal of Parallel and Distributed Computing
Causality, influence, and computation in possibly disconnected synchronous dynamic networks
Journal of Parallel and Distributed Computing
An approach to testing commercial embedded systems
Journal of Systems and Software
Post-silicon platform for the functional diagnosis and debug of networks-on-chip
ACM Transactions on Embedded Computing Systems (TECS) - Special Issue on Design Challenges for Many-Core Processors, Special Section on ESTIMedia'13 and Regular Papers
Continuous validation of load test suites
Proceedings of the 5th ACM/SPEC international conference on Performance engineering
Modeling, analyzing and slicing periodic distributed computations
Information and Computation
Distributed debugging for mobile networks
Journal of Systems and Software
Self-Modifying Nets for Synchronous, Connection-Oriented, Multicast Communication
Fundamenta Informaticae - Dedicated to the Memory of Professor Manfred Kudlek
NetCheck: network diagnoses from blackbox traces
NSDI'14 Proceedings of the 11th USENIX Conference on Networked Systems Design and Implementation
Warranties for faster strong consistency
NSDI'14 Proceedings of the 11th USENIX Conference on Networked Systems Design and Implementation
Hi-index | 48.54 |
The concept of one event happening before another in a distributed system is examined, and is shown to define a partial ordering of the events. A distributed algorithm is given for synchronizing a system of logical clocks which can be used to totally order the events. The use of the total ordering is illustrated with a method for solving synchronization problems. The algorithm is then specialized for synchronizing physical clocks, and a bound is derived on how far out of synchrony the clocks can become.