Efficient quantum algorithms for some instances of the non-Abelian hidden subgroup problem
Proceedings of the thirteenth annual ACM symposium on Parallel algorithms and architectures
STOC '01 Proceedings of the thirty-third annual ACM symposium on Theory of computing
Quantum algorithms for solvable groups
STOC '01 Proceedings of the thirty-third annual ACM symposium on Theory of computing
A new protocol and lower bounds for quantum coin flipping
STOC '01 Proceedings of the thirty-third annual ACM symposium on Theory of computing
Quantum lower bounds by polynomials
Journal of the ACM (JACM)
Secure multi-party quantum computation
STOC '02 Proceedings of the thiry-fourth annual ACM symposium on Theory of computing
On communication over an entanglement-assisted quantum channel
STOC '02 Proceedings of the thiry-fourth annual ACM symposium on Theory of computing
Transformation rules for designing CNOT-based quantum circuits
Proceedings of the 39th annual Design Automation Conference
Minds and Machines
Book Review: The Quest for the Quantum Computer
Genetic Programming and Evolvable Machines
Entanglement, Quantum Phase Transitions, and Density Matrix Renormalization
Quantum Information Processing
Approximate Quantum Error Correction
Quantum Information Processing
Liouville Invariance in Quantum and Classical Mechanics
Quantum Information Processing
On States, Channels, and Purification
Quantum Information Processing
How to Steer a Quantum System over a Schrödinger Bridge
Quantum Information Processing
Quantum Information Processing
Realizations of quantum computing using optical manipulations of atoms
Natural Computing: an international journal
Cosmological lower bound on the circuit complexity of a small problem in logic
Journal of the ACM (JACM)
Complexity measures and decision tree complexity: a survey
Theoretical Computer Science - Complexity and logic
Thermodynamic Interpretation of the Quantum Error Correcting Criterion
Quantum Information Processing
Data Compression Limit for an Information Source of Interacting Qubits
Quantum Information Processing
The Physical Limits of Computing
Computing in Science and Engineering
The Physical Basis of Computability
Computing in Science and Engineering
Two-way finite automata with quantum and classical states
Theoretical Computer Science - Natural computing
Quantum communication and complexity
Theoretical Computer Science - Natural computing
Quantum summation with an application to integration
Journal of Complexity
Theoretical Computer Science
SODA '03 Proceedings of the fourteenth annual ACM-SIAM symposium on Discrete algorithms
Derivation schemes in twin open set logic
Collision-based computing
Finite Domain Constraint Satisfaction Using Quantum Computation
MFCS '02 Proceedings of the 27th International Symposium on Mathematical Foundations of Computer Science
Lower Bounds in the Quantum Cell Probe Model
ICALP '01 Proceedings of the 28th International Colloquium on Automata, Languages and Programming,
Time and Space Bounds for Reversible Simulation
ICALP '01 Proceedings of the 28th International Colloquium on Automata, Languages and Programming,
Quantum and Stochastic Branching Programs of Bounded Width
ICALP '02 Proceedings of the 29th International Colloquium on Automata, Languages and Programming
Polynomial-Time Algorithms for the Equivalence for One-Way Quantum Finite Automata
ISAAC '01 Proceedings of the 12th International Symposium on Algorithms and Computation
On Quantum Computation with Some Restricted Amplitudes
STACS '02 Proceedings of the 19th Annual Symposium on Theoretical Aspects of Computer Science
Improved Quantum Communication Complexity Bounds for Disjointness and Equality
STACS '02 Proceedings of the 19th Annual Symposium on Theoretical Aspects of Computer Science
On Quantum and Approximate Privacy
STACS '02 Proceedings of the 19th Annual Symposium on Theoretical Aspects of Computer Science
A Quantum Goldreich-Levin Theorem with Cryptographic Applications
STACS '02 Proceedings of the 19th Annual Symposium on Theoretical Aspects of Computer Science
Quantum DNF Learnability Revisited
COCOON '02 Proceedings of the 8th Annual International Conference on Computing and Combinatorics
The Quantum Communication Complexity of the Pointer Chasing Problem: The Bit Version
FST TCS '02 Proceedings of the 22nd Conference Kanpur on Foundations of Software Technology and Theoretical Computer Science
SOFSEM '00 Proceedings of the 27th Conference on Current Trends in Theory and Practice of Informatics
The Quantum Computing Challenge
Informatics - 10 Years Back. 10 Years Ahead.
MCU '01 Proceedings of the Third International Conference on Machines, Computations, and Universality
CLARISSE: A Machine Learning Tool to Initialize Student Models
ITS '02 Proceedings of the 6th International Conference on Intelligent Tutoring Systems
Introduction to Recent Quantum Algorithms
MFCS '01 Proceedings of the 26th International Symposium on Mathematical Foundations of Computer Science
On Quantum Versions of the Yao Principle
STACS '02 Proceedings of the 19th Annual Symposium on Theoretical Aspects of Computer Science
On a problem in quantum summation
Journal of Complexity
Quantum integration in Sobolev classes
Journal of Complexity
PSPACE has constant-round quantum interactive proof systems
Theoretical Computer Science - Algorithms,automata, complexity and games
Exact results for accepting probabilities of quantum automata
Theoretical Computer Science - Mathematical foundations of computer science
Reversible logic circuit synthesis
Proceedings of the 2002 IEEE/ACM international conference on Computer-aided design
A transformation based algorithm for reversible logic synthesis
Proceedings of the 40th annual Design Automation Conference
An arbitrary twoqubit computation In 23 elementary gates or less
Proceedings of the 40th annual Design Automation Conference
Designing and implementing small quantum circuits and algorithms
Proceedings of the 40th annual Design Automation Conference
The effect of communication costs in solid-state quantum computing architectures
Proceedings of the fifteenth annual ACM symposium on Parallel algorithms and architectures
From Monte Carlo to quantum computation
Mathematics and Computers in Simulation - Special issue: 3rd IMACS seminar on Monte Carlo methods - MCM 2001
Hidden translation and orbit coset in quantum computing
Proceedings of the thirty-fifth annual ACM symposium on Theory of computing
Exponential algorithmic speedup by a quantum walk
Proceedings of the thirty-fifth annual ACM symposium on Theory of computing
Quantum time-space tradeoffs for sorting
Proceedings of the thirty-fifth annual ACM symposium on Theory of computing
Exponential lower bound for 2-query locally decodable codes via a quantum argument
Proceedings of the thirty-fifth annual ACM symposium on Theory of computing
Quantum multi-prover interactive proof systems with limited prior entanglement
Journal of Computer and System Sciences
Building quantum wires: the long and the short of it
Proceedings of the 30th annual international symposium on Computer architecture
Quantum zero-error algorithms cannot be composed
Information Processing Letters
Double-island single-electron transistor for noise-suppressed detection of charge transfer
Microelectronic Engineering
Quantum optimization for training support vector machines
Neural Networks - 2003 Special issue: Advances in neural networks research IJCNN'03
Experimental Demonstration of Quantum Lattice Gas Computation
Quantum Information Processing
Relative Phase Change During Quantum Operations
Quantum Information Processing
Spin Squeezing Criterion with Local Unitary Invariance
Quantum Information Processing
Evolutionary Approach to Quantum andReversible Circuits Synthesis
Artificial Intelligence Review
Transformation rules for CNOT-based quantum circuits and their applications
New Generation Computing - Quantum computing
Splitting information securely with entanglement
Information and Computation
High-Performance QuIDD-Based Simulation of Quantum Circuits
Proceedings of the conference on Design, automation and test in Europe - Volume 2
Proceedings of the conference on Design, automation and test in Europe - Volume 2
Smaller Two-Qubit Circuits for Quantum Communication and Computation
Proceedings of the conference on Design, automation and test in Europe - Volume 2
Treating the Independent Set Problem by 2D Ising Interactions with Adiabatic Quantum Computing
Quantum Information Processing
An Explicit Universal Gate-Set for Exchange-Only Quantum Computation
Quantum Information Processing
Weak coin flipping with small bias
Information Processing Letters
Quantum designer and network simulator
Proceedings of the 1st conference on Computing frontiers
Using HDLs for describing quantum circuits: a framework for efficient quantum algorithm simulation
Proceedings of the 1st conference on Computing frontiers
Toward a quantum process algebra
Proceedings of the 1st conference on Computing frontiers
Datapath and control for quantum wires
ACM Transactions on Architecture and Code Optimization (TACO)
Implementing a Quantum Algorithm with Exchange-Coupled Quantum Dots: A Feasibility Study
Quantum Information Processing
Noiseless Subsystems and the Structure of the Commutant in Quantum Error Correction
Quantum Information Processing
Improving Gate-Level Simulation of Quantum Circuits
Quantum Information Processing
On Duality between Quantum Maps and Quantum States
Open Systems & Information Dynamics
A new protocol and lower bounds for quantum coin flipping
Journal of Computer and System Sciences - STOC 2001
Quantum approximation I. Embeddings of finite-dimensional Lp spaces
Journal of Complexity
ACM SIGACT News
Quantum symmetrically-private information retrieval
Information Processing Letters
Quantum logic synthesis by symbolic reachability analysis
Proceedings of the 41st annual Design Automation Conference
Automata theory based on quantum logic: some characterizations
Information and Computation
Polynomial time quantum computation with advice
Information Processing Letters
Multilinear formulas and skepticism of quantum computing
STOC '04 Proceedings of the thirty-sixth annual ACM symposium on Theory of computing
Exponential separation of quantum and classical one-way communication complexity
STOC '04 Proceedings of the thirty-sixth annual ACM symposium on Theory of computing
Hypercomputation with quantum adiabatic processes
Theoretical Computer Science - Super-recursive algorithms and hypercomputation
Towards a quantum programming language
Mathematical Structures in Computer Science
TelePOVM-- A generalized quantum teleportation scheme
IBM Journal of Research and Development
Quantum computing without entanglement
Theoretical Computer Science
On the complexity of simulating space-bounded quantum computations
Computational Complexity
Toward Intelligent Agents on Quantum Computers
AAMAS '04 Proceedings of the Third International Joint Conference on Autonomous Agents and Multiagent Systems - Volume 3
The query complexity of order-finding
Information and Computation
Simulation and verification II: simulating quantum computing: quantum express
Proceedings of the 35th conference on Winter simulation: driving innovation
Quantum Computing and Information Extraction for Dynamical Quantum Systems
Quantum Information Processing
Implementing Qubits with Superconducting Integrated Circuits
Quantum Information Processing
Controlling Spin Qubits in Quantum Dots
Quantum Information Processing
NMR Quantum Information Processing
Quantum Information Processing
The power of various real-valued quantum queries
Journal of Complexity
Average case quantum lower bounds for computing the Boolean mean
Journal of Complexity
Quantum networks: from quantum cryptography to quantum architecture
ACM SIGCOMM Computer Communication Review
Infrastructure for the quantum internet
ACM SIGCOMM Computer Communication Review
Exponential lower bound for 2-query locally decodable codes via a quantum argument
Journal of Computer and System Sciences - Special issue: STOC 2003
Communicating quantum processes
Proceedings of the 32nd ACM SIGPLAN-SIGACT symposium on Principles of programming languages
Quantum Circuit Simplification Using Templates
Proceedings of the conference on Design, Automation and Test in Europe - Volume 2
Quantum privacy and quantum wiretap channels
Problems of Information Transmission
Guest Column: NP-complete problems and physical reality
ACM SIGACT News
Tensor norms and the classical communication complexity of nonlocal quantum measurement
Proceedings of the thirty-seventh annual ACM symposium on Theory of computing
The Data Compression Theorem for Ergodic Quantum Information Sources
Quantum Information Processing
Locality and Information Transfer in Quantum Operations
Quantum Information Processing
Improving quantum circuit dependability with reconfigurable quantum gate arrays
Proceedings of the 2nd conference on Computing frontiers
Reliability assessment in embryonics inspired by fault-tolerant quantum computation
Proceedings of the 2nd conference on Computing frontiers
Time, space, and energy in reversible computing
Proceedings of the 2nd conference on Computing frontiers
Adaptive grid refinement for a model of two confined and interacting atoms
Applied Numerical Mathematics - Adaptive methods for partial differential equations and large-scale computation
Existence of the Exact CNOT on a Quantum Computer with the Exchange Interaction
Quantum Information Processing
Classical and Quantum Complexity of the Sturm--Liouville Eigenvalue Problem
Quantum Information Processing
Multiple-level concatenated coding in embryonics: a dependability analysis
GECCO '05 Proceedings of the 7th annual conference on Genetic and evolutionary computation
Evolution of a human-competitive quantum fourier transform algorithm using genetic programming
GECCO '05 Proceedings of the 7th annual conference on Genetic and evolutionary computation
Computing in Science and Engineering
Proceedings of the 32nd annual international symposium on Computer Architecture
Quantum algorithms for the triangle problem
SODA '05 Proceedings of the sixteenth annual ACM-SIAM symposium on Discrete algorithms
A Simple Proof of the Jamiołkowski Criterion for Complete Positivity of Linear Maps
Open Systems & Information Dynamics
Description of Quantum Dynamics of Open Systems Based on Collision-Like Models
Open Systems & Information Dynamics
Is partial quantum search of a database any easier?
Proceedings of the seventeenth annual ACM symposium on Parallelism in algorithms and architectures
Non-Decomposable Quantum Dynamical Semigroups and Bound Entangled States
Open Systems & Information Dynamics
Some Remarks on the Role of Minimal Length of Positive Maps in Constructing Entanglement Witnesses
Open Systems & Information Dynamics
Coordination of quantum internet agents
Proceedings of the fourth international joint conference on Autonomous agents and multiagent systems
Quantum branching programs and space-bounded nonuniform quantum complexity
Theoretical Computer Science
The Bloch-Vector Space for N-Level Systems: the Spherical-Coordinate Point of View
Open Systems & Information Dynamics
ACM SIGACT News
On the Interpretation of Energy as the Rate of Quantum Computation
Quantum Information Processing
From Dirac to Diffusion: Decoherence in Quantum Lattice Gases
Quantum Information Processing
Quantum and classical complexity classes: separations, collapses, and closure properties
Information and Computation
Quantum Information and the PCP Theorem
FOCS '05 Proceedings of the 46th Annual IEEE Symposium on Foundations of Computer Science
Automatic Synthesis of Composable Sequential Quantum Boolean Circuits
ICCD '05 Proceedings of the 2005 International Conference on Computer Design
A Quantum Logic Array Microarchitecture: Scalable Quantum Data Movement and Computation
Proceedings of the 38th annual IEEE/ACM International Symposium on Microarchitecture
On quantum versions of record-breaking algorithms for SAT
ACM SIGACT News
Quantum verification of matrix products
SODA '06 Proceedings of the seventeenth annual ACM-SIAM symposium on Discrete algorithm
Probabilities of Failure for Quantum Error Correction
Quantum Information Processing
Human-Competitive Evolution of Quantum Computing Artefacts by Genetic Programming
Evolutionary Computation
Gate-level simulation of quantum circuits
ASP-DAC '03 Proceedings of the 2003 Asia and South Pacific Design Automation Conference
On Partially Entanglement Breaking Channels
Open Systems & Information Dynamics
Limits on the ability of quantum states to convey classical messages
Journal of the ACM (JACM)
Synthesis of quantum logic circuits
Proceedings of the 2005 Asia and South Pacific Design Automation Conference
Architectural implications of quantum computing technologies
ACM Journal on Emerging Technologies in Computing Systems (JETC)
On the computational power of probabilistic and quantum branching program
Information and Computation
Simple Algorithm for Partial Quantum Search
Quantum Information Processing
Implementing quantum genetic algorithms: a solution based on Grover's algorithm
Proceedings of the 3rd conference on Computing frontiers
A dependability perspective on emerging technologies
Proceedings of the 3rd conference on Computing frontiers
Analysis and synthesis of quantum circuits by using quantum decision diagrams
Proceedings of the conference on Design, automation and test in Europe: Proceedings
Zero-knowledge against quantum attacks
Proceedings of the thirty-eighth annual ACM symposium on Theory of computing
A polynomial quantum algorithm for approximating the Jones polynomial
Proceedings of the thirty-eighth annual ACM symposium on Theory of computing
Bounded-error quantum state identification and exponential separations in communication complexity
Proceedings of the thirty-eighth annual ACM symposium on Theory of computing
Limitations of quantum coset states for graph isomorphism
Proceedings of the thirty-eighth annual ACM symposium on Theory of computing
Proceedings of the thirty-eighth annual ACM symposium on Theory of computing
Interconnection Networks for Scalable Quantum Computers
Proceedings of the 33rd annual international symposium on Computer Architecture
Distributed Arithmetic on a Quantum Multicomputer
Proceedings of the 33rd annual international symposium on Computer Architecture
Quantum Memory Hierarchies: Efficient Designs to Match Available Parallelism in Quantum Computing
Proceedings of the 33rd annual international symposium on Computer Architecture
Evolutionary approach to quantum and reversible circuits synthesis
Artificial intelligence in logic design
Challenges in reliable quantum computing
Nano, quantum and molecular computing
On the Role of Hadamard Gates in Quantum Circuits
Quantum Information Processing
The Quantum Setting with Randomized Queries for Continuous Problems
Quantum Information Processing
Quantum Information Processing
The Physics of No-Bit-Commitment: Generalized Quantum Non-Locality Versus Oblivious Transfer
Quantum Information Processing
A categorical model for the geometry of interaction
Theoretical Computer Science - Automata, languages and programming: Logic and semantics (ICALP-B 2004)
Proceedings of the 2006 ACM symposium on Applied computing
An introduction to quantum cryptography
Crossroads
Computing with highly mixed states
Journal of the ACM (JACM)
Exponential separation of quantum and classical online space complexity
Proceedings of the eighteenth annual ACM symposium on Parallelism in algorithms and architectures
Weakly complete axiomatization of exogenous quantum propositional logic
Information and Computation
Quantization of games: towards quantum artificial intelligence
Theoretical Computer Science
Determination of equivalence between quantum sequential machines
Theoretical Computer Science
Relations among quantum processes: bisimilarity and congruence
Mathematical Structures in Computer Science
Mathematical Structures in Computer Science
Types and typechecking for Communicating Quantum Processes
Mathematical Structures in Computer Science
Structuring quantum effects: superoperators as arrows
Mathematical Structures in Computer Science
LQP: the dynamic logic of quantum information
Mathematical Structures in Computer Science
Quantum programming languages: survey and bibliography
Mathematical Structures in Computer Science
Classically controlled quantum computation
Mathematical Structures in Computer Science
Mathematical Structures in Computer Science
A quantum no-key protocol for secure data communication
Proceedings of the 43rd annual Southeast regional conference - Volume 2
A program transformation and architecture support for quantum uncomputation
Proceedings of the 12th international conference on Architectural support for programming languages and operating systems
Proceedings of the 44th annual Southeast regional conference
Scaling and Better Approximating Quantum Fourier Transform by Higher Radices
IEEE Transactions on Computers
Uniformity of quantum circuit families for error-free algorithms
Theoretical Computer Science
SIGGRAPH '05 ACM SIGGRAPH 2005 Courses
Hybrid quantum-classical computing with applications to computer graphics
SIGGRAPH '05 ACM SIGGRAPH 2005 Courses
Non-Markovian Quantum Error Deterrence by Dynamical Decoupling in a General Environment
Quantum Information Processing
Mathematical Theory of Duality Quantum Computers
Quantum Information Processing
Data structures and algorithms for simplifying reversible circuits
ACM Journal on Emerging Technologies in Computing Systems (JETC)
A lower bound for the Sturm-Liouville eigenvalue problem on a quantum computer
Journal of Complexity - Special issue: Information-based complexity workshops FoCM conference Santander, Spain, July 2005
The quantum query complexity of elliptic PDE
Journal of Complexity - Special issue: Information-based complexity workshops FoCM conference Santander, Spain, July 2005
Journal of the ACM (JACM)
Exact sat-based toffoli network synthesis
Proceedings of the 17th ACM Great Lakes symposium on VLSI
No-Cloning and No-Deleting Theorems through the Existence of Incomparable States Under LOCC
Quantum Information Processing
Improved Gap Estimates for Simulating Quantum Circuits by Adiabatic Evolution
Quantum Information Processing
The Sturm-Liouville Eigenvalue Problem and NP-Complete Problems in the Quantum Setting with Queries
Quantum Information Processing
Rotationally Invariant Multipartite States
Open Systems & Information Dynamics
Quantum cryptography: A survey
ACM Computing Surveys (CSUR)
Statistical Zero Knowledge and quantum one-way functions
Theoretical Computer Science
The quantum query complexity of the abelian hidden subgroup problem
Theoretical Computer Science
Quantum ternary parallel adder/subtractor with partially-look-ahead carry
Journal of Systems Architecture: the EUROMICRO Journal
Proceedings of the 34th annual international symposium on Computer architecture
Proceedings of the thirty-ninth annual ACM symposium on Theory of computing
Negative weights make adversaries stronger
Proceedings of the thirty-ninth annual ACM symposium on Theory of computing
Toward a general theory of quantum games
Proceedings of the thirty-ninth annual ACM symposium on Theory of computing
Secure Communication without Encryption?
IEEE Security and Privacy
Quantum Existence Testing and Its Application for Finding Extreme Values in Unsorted Databases
IEEE Transactions on Computers
A Characterization of Global Entanglement
Quantum Information Processing
Entropy and algorithmic complexity in quantum information theory
Natural Computing: an international journal
A broader view on the limitations of information processing and communication by nature
Natural Computing: an international journal
Design for dependability in emerging technologies
ACM Journal on Emerging Technologies in Computing Systems (JETC)
Reversible circuit technology mapping from non-reversible specifications
Proceedings of the conference on Design, automation and test in Europe
Resonant Quantum Phenomena for an rf-SQUID: Moderate Underdamped and Extreme Underdamped Limit
Open Systems & Information Dynamics
ACM SIGACT News
Proceedings of the 24th international conference on Machine learning
Proceedings of the 9th annual conference companion on Genetic and evolutionary computation
FRank: a ranking method with fidelity loss
SIGIR '07 Proceedings of the 30th annual international ACM SIGIR conference on Research and development in information retrieval
Techniques for the synthesis of reversible Toffoli networks
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Proceedings of the 44th annual Design Automation Conference
The quantum Schur and Clebsch-Gordan transforms: I. efficient qudit circuits
SODA '07 Proceedings of the eighteenth annual ACM-SIAM symposium on Discrete algorithms
Commutativity of quantum weakest preconditions
Information Processing Letters
Quantum Algorithms: Philosophical Lessons
Minds and Machines
On the Structure of Entanglement Witnesses and New Class of Positive Indecomposable Maps
Open Systems & Information Dynamics
On non-Markovian Time Evolution in Open Quantum Systems
Open Systems & Information Dynamics
Information Free Quantum Bus for Generating Stabiliser States
Quantum Information Processing
Automata theory based on quantum logic: Reversibilities and pushdown automata
Theoretical Computer Science
Probabilistic bisimulations for quantum processes
Information and Computation
Communication Links for Distributed Quantum Computation
IEEE Transactions on Computers
On the complexity of the multivariate Sturm--Liouville eigenvalue problem
Journal of Complexity
Registers for phase difference based logic
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
A survey of lower bounds for satisfiability and related problems
Foundations and Trends® in Theoretical Computer Science
Arithmetic on a distributed-memory quantum multicomputer
ACM Journal on Emerging Technologies in Computing Systems (JETC)
Synthesis of reversible sequential elements
ACM Journal on Emerging Technologies in Computing Systems (JETC)
Fast exact Toffoli network synthesis of reversible logic
Proceedings of the 2007 IEEE/ACM international conference on Computer-aided design
A novel synthesis algorithm for reversible circuits
Proceedings of the 2007 IEEE/ACM international conference on Computer-aided design
Checking equivalence of quantum circuits and states
Proceedings of the 2007 IEEE/ACM international conference on Computer-aided design
Optimal integration error on anisotropic classes for restricted Monte Carlo and quantum algorithms
Journal of Approximation Theory
Programming and simulation of quantum search agents
Proceedings of the 6th international joint conference on Autonomous agents and multiagent systems
High-level interconnect model for the quantum logic array architecture
ACM Journal on Emerging Technologies in Computing Systems (JETC)
Lower bounds for predecessor searching in the cell probe model
Journal of Computer and System Sciences
A new approach to constructing CSS codes based on factor graphs
Information Sciences: an International Journal
Foundations and Trends® in Networking
A nonconvex dissipative system and its applications (II)
Journal of Global Optimization
Complexity of chaos and quantum computation
Mathematical Structures in Computer Science
Combinatorial laplacians and positivity under partial transpose
Mathematical Structures in Computer Science
Role of Cooper pairs for the generation of entangled photon pairs from single quantum dots
Microelectronics Journal
Reversible Gates and Testability of One Dimensional Arrays of Molecular QCA
Journal of Electronic Testing: Theory and Applications
Proceedings of the 2008 Asia and South Pacific Design Automation Conference
Pairwise decomposition of toffoli gates in a quantum circuit
Proceedings of the 18th ACM Great Lakes symposium on VLSI
Neurodynamics and attractors in quantum associative memories
Integrated Computer-Aided Engineering
Controllability and Universal Three-qubit Quantum Computation with Trapped Electron States
Quantum Information Processing
A Quantum Algorithm for Finding the Modal Value
Quantum Information Processing
From graph states to two-graph states
Designs, Codes and Cryptography
Microcoded Architectures for Ion-Tap Quantum Computers
ISCA '08 Proceedings of the 35th Annual International Symposium on Computer Architecture
Running a Quantum Circuit at the Speed of Data
ISCA '08 Proceedings of the 35th Annual International Symposium on Computer Architecture
Quantum Information Processing
Quantum Information Processing
Proceedings of the 10th annual conference companion on Genetic and evolutionary computation
Semantic Abstraction and Quantum Computation
Electronic Notes in Theoretical Computer Science (ENTCS)
Electronic Notes in Theoretical Computer Science (ENTCS)
Machine invention of quantum computing circuits by means of genetic programming
Artificial Intelligence for Engineering Design, Analysis and Manufacturing
Evolving blackbox quantum algorithms using genetic programming
Artificial Intelligence for Engineering Design, Analysis and Manufacturing
Theoretical Computer Science
Determining the equivalence for one-way quantum finite automata
Theoretical Computer Science
Universality and programmability of quantum computers
Theoretical Computer Science
Proceedings of the twenty-seventh ACM symposium on Principles of distributed computing
Computer graphics for quantum computation
ACM SIGGRAPH 2008 posters
Quantified synthesis of reversible logic
Proceedings of the conference on Design, automation and test in Europe
Synthesis of quaternary reversible/quantum comparators
Journal of Systems Architecture: the EUROMICRO Journal
Topology in information theory in topology
Theoretical Computer Science
Can quantum mechanics help distributed computing?
ACM SIGACT News
Experiments with probabilistic quantum auctions
Quantum Information Processing
Automatic Synthesis for Quantum Circuits Using Genetic Algorithms
ICANNGA '07 Proceedings of the 8th international conference on Adaptive and Natural Computing Algorithms, Part I
Energy Dissipation Effect on a Quantum Neural Network
Neural Information Processing
Quantum Entanglement Analysis Based on Abstract Interpretation
SAS '08 Proceedings of the 15th international symposium on Static Analysis
QMC: A Model Checker for Quantum Systems
CAV '08 Proceedings of the 20th international conference on Computer Aided Verification
A Domain Theoretic Model of Qubit Channels
ICALP '08 Proceedings of the 35th international colloquium on Automata, Languages and Programming, Part II
Corruption and Recovery-Efficient Locally Decodable Codes
APPROX '08 / RANDOM '08 Proceedings of the 11th international workshop, APPROX 2008, and 12th international workshop, RANDOM 2008 on Approximation, Randomization and Combinatorial Optimization: Algorithms and Techniques
Lower Bounds for Generalized Quantum Finite Automata
Language and Automata Theory and Applications
Quantum Wireless Sensor Networks
UC '08 Proceedings of the 7th international conference on Unconventional Computing
Bijective Digital Error-Control Coding, Part I: The Reversible Viterbi Algorithm
ICIC '08 Proceedings of the 4th international conference on Intelligent Computing: Advanced Intelligent Computing Theories and Applications - with Aspects of Theoretical and Methodological Issues
Bijective Digital Error-Control Coding, Part II: Quantum Viterbi Circuit Synthesis
ICIC '08 Proceedings of the 4th international conference on Intelligent Computing: Advanced Intelligent Computing Theories and Applications - with Aspects of Theoretical and Methodological Issues
Journal of Systems Architecture: the EUROMICRO Journal
A Monotonicity Principle for Information Theory
Electronic Notes in Theoretical Computer Science (ENTCS)
Classical Knowledge for Quantum Cryptographic Reasoning
Electronic Notes in Theoretical Computer Science (ENTCS)
A Hierarchy of Quantum Semantics
Electronic Notes in Theoretical Computer Science (ENTCS)
Decision Support Systems
Some algebraic properties of measure-once two-way quantum finite automata
Quantum Information Processing
Entanglement assisted classical capacity of a class of quantum channels with long-term memory
Quantum Information Processing
Quantum lattice gas approach for the Maxwell equations
Quantum Information Processing
Quantum Information Processing
On the Power of Quantum Encryption Keys
PQCrypto '08 Proceedings of the 2nd International Workshop on Post-Quantum Cryptography
An Algorithmic Construction of Quantum Circuits of High Descriptive Complexity
Electronic Notes in Theoretical Computer Science (ENTCS)
An Application of the Deutsch-Jozsa Algorithm to Formal Languages and the Word Problem in Groups
Theory of Quantum Computation, Communication, and Cryptography
An Elementary Optical Gate for Expanding Symmetrically Shared Entanglement
Theory of Quantum Computation, Communication, and Cryptography
On the Design and Optimization of a Quantum Polynomial-Time Attack on Elliptic Curve Cryptography
Theory of Quantum Computation, Communication, and Cryptography
WI-IAT '08 Proceedings of the 2008 IEEE/WIC/ACM International Conference on Web Intelligence and Intelligent Agent Technology - Volume 02
International Journal of Intelligent Systems Technologies and Applications
Quantum approaches to graph colouring
Theoretical Computer Science
Quantum Information Processing
Self-protected quantum algorithms based on quantum state tomography
Quantum Information Processing
Invited Talk: Embedding Classical into Quantum Computation
Mathematical Methods in Computer Science
An Efficient Quantum Algorithm for the Hidden Subgroup Problem over Weyl-Heisenberg Groups
Mathematical Methods in Computer Science
An algebra of quantum processes
ACM Transactions on Computational Logic (TOCL)
A novel Toffoli network synthesis algorithm for reversible logic
Proceedings of the 2009 Asia and South Pacific Design Automation Conference
A cycle-based synthesis algorithm for reversible logic
Proceedings of the 2009 Asia and South Pacific Design Automation Conference
A discriminator variety of Gödel algebras with operators arising in quantum computation
Fuzzy Sets and Systems
Entanglement in GaAs and CdSe quantum dots: Exact calculations and DFT approximations
Microelectronics Journal
Modelling and Simulation of Quantum Teleportation and Dense Coding Using Predicate/Transition-Nets
Fundamenta Informaticae - Concurrency Specification and Programming (CS&P)
An application of quantum finite automata to interactive proof systems
Journal of Computer and System Sciences
Quantum multiparty communication complexity and circuit lower bounds
Mathematical Structures in Computer Science
Schmidt Decomposition for Quantum Entanglement in Quantum Algorithms
IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences
An XQDD-Based Verification Method for Quantum Circuits
IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences
Key Rate Available from Mismatched Measurements in the BB84 Protocol and the Uncertainty Principle
IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences
Coherent interactions between phase qubits, cavities, and TLS defects
Quantum Information Processing
Josephson charge qubits: a brief review
Quantum Information Processing
A review of procedures to evolve quantum algorithms
Genetic Programming and Evolvable Machines
Composing Quantum Protocols in a Classical Environment
TCC '09 Proceedings of the 6th Theory of Cryptography Conference on Theory of Cryptography
Proceedings of the 6th ACM conference on Computing frontiers
A Symbolic Classical Computer Language for Simulation of Quantum Algorithms
QI '09 Proceedings of the 3rd International Symposium on Quantum Interaction
Characterizing Pure High-Order Entanglements in Lexical Semantic Spaces via Information Geometry
QI '09 Proceedings of the 3rd International Symposium on Quantum Interaction
Information: The Algorithmic Paradigm
Formal Theories of Information
New bounds on classical and quantum one-way communication complexity
Theoretical Computer Science
Parallelizing quantum circuits
Theoretical Computer Science
Quantum Testers for Hidden Group Properties
Fundamenta Informaticae - Machines, Computations and Universality, Part II
On a measurement-free quantum lambda calculus with classical control
Mathematical Structures in Computer Science
Influences of gate operation errors in the quantum counting algorithm
Journal of Computer Science and Technology
Nuclear polarization and entanglement in spin systems
Quantum Information Processing
Entropic security in quantum cryptography
Quantum Information Processing
Controlled teleportation against uncooperation of part of supervisors
Quantum Information Processing
Space-efficient simulation of quantum computers
Proceedings of the 47th Annual Southeast Regional Conference
A property of quantum relative entropy with an application to privacy in quantum communication
Journal of the ACM (JACM)
On lattices, learning with errors, random linear codes, and cryptography
Journal of the ACM (JACM)
System design for a long-line quantum repeater
IEEE/ACM Transactions on Networking (TON)
Evolving quantum computer algorithms
Proceedings of the 11th Annual Conference Companion on Genetic and Evolutionary Computation Conference: Late Breaking Papers
The Arrow Calculus as a Quantum Programming Language
WoLLIC '09 Proceedings of the 16th International Workshop on Logic, Language, Information and Computation
General Scheme for Perfect Quantum Network Coding with Free Classical Communication
ICALP '09 Proceedings of the 36th International Colloquium on Automata, Languages and Programming: Part I
Developing quantum nanocomputing for pervasive health environments
Proceedings of the 2nd International Conference on PErvasive Technologies Related to Assistive Environments
Proceedings of the twenty-first annual symposium on Parallelism in algorithms and architectures
Twisted Graph States for Ancilla-driven Universal Quantum Computation
Electronic Notes in Theoretical Computer Science (ENTCS)
Efficient Universal Quantum Circuits
COCOON '09 Proceedings of the 15th Annual International Conference on Computing and Combinatorics
Distributed Quantum Programming
UC '09 Proceedings of the 8th International Conference on Unconventional Computation
Reasoning about Entanglement and Separability in Quantum Higher-Order Functions
UC '09 Proceedings of the 8th International Conference on Unconventional Computation
On the solution of trivalent decision problems by quantum state identification
Natural Computing: an international journal
Entanglement and multiple quantum coherence dynamics in spin clusters
Quantum Information Processing
Quantum error correction via convex optimization
Quantum Information Processing
Entanglement and Berry phase in a 9 × 9 Yang---Baxter system
Quantum Information Processing
BDD-based synthesis of reversible logic for large functions
Proceedings of the 46th Annual Design Automation Conference
Claw finding algorithms using quantum walk
Theoretical Computer Science
Quantum computation and image processing: new trends in artificial intelligence
IJCAI'03 Proceedings of the 18th international joint conference on Artificial intelligence
Programming with Quantum Communication
Electronic Notes in Theoretical Computer Science (ENTCS)
Adaptive grid refinement for a model of two confined and interacting atoms
Applied Numerical Mathematics - Adaptive methods for partial differential equations and large-scale computation
Quantum finite automata and weighted automata
Journal of Automata, Languages and Combinatorics
Recent progress in quantum algorithms
Communications of the ACM
Helical crossover method in immune algorithm: a case for job-shop scheduling problem
ISC '07 Proceedings of the 10th IASTED International Conference on Intelligent Systems and Control
Quantum implicit computational complexity
Theoretical Computer Science
Exact multiple-control toffoli network synthesis with SAT techniques
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
Mathematics and Computers in Simulation
Equation of motion for entanglement
Quantum Information Processing
Reservoir cross-over in entanglement dynamics
Quantum Information Processing
Modulated entanglement evolution via correlated noises
Quantum Information Processing
IEEE Transactions on Information Theory
Smooth entropies and the quantum information spectrum
IEEE Transactions on Information Theory
Min- and max-relative entropies and a new entanglement monotone
IEEE Transactions on Information Theory
IEEE Transactions on Information Theory
Quantum computation and cryptography: an overview
Natural Computing: an international journal
Genetic algorithm based quantum circuit synthesis with adaptive parameters control
CEC'09 Proceedings of the Eleventh conference on Congress on Evolutionary Computation
A Mathematica Package for Simulation of Quantum Computation
CASC '09 Proceedings of the 11th International Workshop on Computer Algebra in Scientific Computing
Forecasting Approach Using Hybrid Model ASVR/NGARCH with Quantum Minimization
ICIC '07 Proceedings of the 3rd International Conference on Intelligent Computing: Advanced Intelligent Computing Theories and Applications. With Aspects of Artificial Intelligence
Forecasting Approach Using Hybrid Model ASVR/NGARCH with Quantum Minimization
ICIC '07 Proceedings of the 3rd International Conference on Intelligent Computing: Advanced Intelligent Computing Theories and Applications. With Aspects of Artificial Intelligence
Quantum-Secure Coin-Flipping and Applications
ASIACRYPT '09 Proceedings of the 15th International Conference on the Theory and Application of Cryptology and Information Security: Advances in Cryptology
On the Power of Two-Party Quantum Cryptography
ASIACRYPT '09 Proceedings of the 15th International Conference on the Theory and Application of Cryptology and Information Security: Advances in Cryptology
Efficient Public Key Encryption Based on Ideal Lattices
ASIACRYPT '09 Proceedings of the 15th International Conference on the Theory and Application of Cryptology and Information Security: Advances in Cryptology
Information rate loss from radiation decoherence
ISIT'09 Proceedings of the 2009 IEEE international conference on Symposium on Information Theory - Volume 3
Optimal axis compensation in quantum key distribution protocols over unital channels
ISIT'09 Proceedings of the 2009 IEEE international conference on Symposium on Information Theory - Volume 3
Quantum error correction via codes over GF (2)
ISIT'09 Proceedings of the 2009 IEEE international conference on Symposium on Information Theory - Volume 2
ISIT'09 Proceedings of the 2009 IEEE international conference on Symposium on Information Theory - Volume 2
Global feedback stabilization of quantum noiseless subsystems
ACC'09 Proceedings of the 2009 conference on American Control Conference
Breaking the Model: Finalisation and a Taxonomy of Security Attacks
Electronic Notes in Theoretical Computer Science (ENTCS)
Reasoning About Imperative Quantum Programs
Electronic Notes in Theoretical Computer Science (ENTCS)
Non-disturbance for fuzzy quantum measurements
Fuzzy Sets and Systems
Quantum and classical complexity classes: Separations, collapses, and closure properties
Information and Computation
On the computational power of probabilistic and quantum branching program
Information and Computation
Weakly complete axiomatization of exogenous quantum propositional logic
Information and Computation
Bounds for codes and designs in complex subspaces
Journal of Algebraic Combinatorics: An International Journal
Optimal quantum source coding with quantum side information at the encoder and decoder
IEEE Transactions on Information Theory
A fully quantum asymptotic equipartition property
IEEE Transactions on Information Theory
Exponential quantum enhancement for distributed addition with local nonlinearity
Quantum Information Processing
Quantum Information Processing
The sudden death of entanglement in constructed Yang---Baxter systems
Quantum Information Processing
Quantum teleportation with non-maximal entangled state
MATH'09 Proceedings of the 14th WSEAS International Conference on Applied mathematics
A new probabilistic approach to on-line learning in artificial neural networks
ASMCSS'09 Proceedings of the 3rd International Conference on Applied Mathematics, Simulation, Modelling, Circuits, Systems and Signals
Quantum circuit oracles for Abstract Machine computations
Theoretical Computer Science
Microelectronic Engineering
Strategies for integration of donor electron spin qubits in silicon
Microelectronic Engineering
Effect of BDD Optimization on Synthesis of Reversible and Quantum Logic
Electronic Notes in Theoretical Computer Science (ENTCS)
Quantum computing and abstract state machines
ASM'03 Proceedings of the abstract state machines 10th international conference on Advances in theory and practice
A library-based synthesis methodology for reversible logic
Microelectronics Journal
Quantum physical synthesis: Improving physical design by netlist modifications
Microelectronics Journal
The pasting constructions of lattice ordered effect algebras
Information Sciences: an International Journal
Problems and prospects for quantum computational speed-up
ICCS'03 Proceedings of the 2003 international conference on Computational science
Quantum search on bounded-error inputs
ICALP'03 Proceedings of the 30th international conference on Automata, languages and programming
Evolving Hogg's quantum algorithm using linear-tree GP
GECCO'03 Proceedings of the 2003 international conference on Genetic and evolutionary computation: PartI
An efficient quantum algorithm for the hidden subgroup problem in extraspecial groups
STACS'07 Proceedings of the 24th annual conference on Theoretical aspects of computer science
STACS'07 Proceedings of the 24th annual conference on Theoretical aspects of computer science
Design of a reversible PLD architecture
ARC'07 Proceedings of the 3rd international conference on Reconfigurable computing: architectures, tools and applications
Quantum versus evolutionary systems: total versus sampled search
ICES'03 Proceedings of the 5th international conference on Evolvable systems: from biology to hardware
Quantum multiparty communication complexity and circuit lower bounds
TAMC'07 Proceedings of the 4th international conference on Theory and applications of models of computation
Exploring degrees of entanglement
Quantum Information Processing
The diagonalization method in quantum recursion theory
Quantum Information Processing
Some algorithms for calculating unitary matrices for quantum circuits
Programming and Computing Software
ICA'07 Proceedings of the 7th international conference on Independent component analysis and signal separation
A novel ANN model based on quantum computational MAS theory
LSMS'07 Proceedings of the Life system modeling and simulation 2007 international conference on Bio-Inspired computational intelligence and applications
Unbounded-error classical and quantum communication complexity
ISAAC'07 Proceedings of the 18th international conference on Algorithms and computation
On the fault testing for reversible circuits
ISAAC'07 Proceedings of the 18th international conference on Algorithms and computation
(UREM) P systems with a quantum-like behavior: background, definition, and computational power
WMC'07 Proceedings of the 8th international conference on Membrane computing
Steganographic communication with quantum information
IH'07 Proceedings of the 9th international conference on Information hiding
Enhancing debugging of multiple missing control errors in reversible logic
Proceedings of the 20th symposium on Great lakes symposium on VLSI
High precision quantum query algorithm for computing AND-based boolean functions
Proceedings of the 7th ACM international conference on Computing frontiers
On the complexity of the hidden subgroup problem
TAMC'08 Proceedings of the 5th international conference on Theory and applications of models of computation
A separation between divergence and Holevo information for ensembles
TAMC'08 Proceedings of the 5th international conference on Theory and applications of models of computation
An efficient quantum algorithm for the hidden subgroup problem in nil-2 groups
LATIN'08 Proceedings of the 8th Latin American conference on Theoretical informatics
Quantum property testing of group solvability
LATIN'08 Proceedings of the 8th Latin American conference on Theoretical informatics
Reversibility and irreversibility in quantum computation and in quantum computational logics
Algebraic and proof-theoretic aspects of non-classical logics
IITA'09 Proceedings of the 3rd international conference on Intelligent information technology application
General properties of quantum zero-knowledge proofs
TCC'08 Proceedings of the 5th conference on Theory of cryptography
Proceedings of the forty-second ACM symposium on Theory of computing
What can be observed locally? round-based models for quantum distributed computing
DISC'09 Proceedings of the 23rd international conference on Distributed computing
ICIP'09 Proceedings of the 16th IEEE international conference on Image processing
Time reversal and exchange symmetries of unitary gate capacities
IEEE Transactions on Information Theory
Efficient quantum stabilizer codes: LDPC and LDPC-convolutional constructions
IEEE Transactions on Information Theory
Information-theoretically secret key generation for fading wireless channels
IEEE Transactions on Information Forensics and Security
Adiabatic quantum counting by geometric phase estimation
Quantum Information Processing
Quantum computing algorithm for electromagnetic field simulation
Quantum Information Processing
Can quantum search accelerate evolutionary algorithms?
Proceedings of the 12th annual conference on Genetic and evolutionary computation
Evolution of quantum algorithms
Proceedings of the 12th annual conference companion on Genetic and evolutionary computation
Generalised quantum weakest preconditions
Quantum Information Processing
Fast equivalence-checking for quantum circuits
Proceedings of the 2010 IEEE/ACM International Symposium on Nanoscale Architectures
Reducing the number of lines in reversible circuits
Proceedings of the 47th Design Automation Conference
Synthesis of the optimal 4-bit reversible circuits
Proceedings of the 47th Design Automation Conference
Hardware that produces bounded rather than exact results
Proceedings of the 47th Design Automation Conference
Obtaining the Quantum Fourier Transform from the classical FFT with QR decomposition
Journal of Computational and Applied Mathematics
Quantum entropic security and approximate quantum encryption
IEEE Transactions on Information Theory
Quantum private queries: security analysis
IEEE Transactions on Information Theory
Supporting polyrepresentation in a quantum-inspired geometrical retrieval framework
Proceedings of the third symposium on Information interaction in context
Novel geometrical solution to additivity problem of classical quantum channel capacity
Sarnoff'10 Proceedings of the 33rd IEEE conference on Sarnoff
Limitations of quantum coset states for graph isomorphism
Journal of the ACM (JACM)
Expectation aware in-network context processing
Proceedings of the 4th ACM International Workshop on Context-Awareness for Self-Managing Systems
Communications of the ACM
Volume thresholds for quantum fault tolerance
Quantum Information Processing
Quantum convolutional coding with shared entanglement: general structure
Quantum Information Processing
Erratum to: "Fast quantum codes based on Pauli block Jacket matrices"
Quantum Information Processing
Quantum codes based on fast pauli block transforms in the finite field
Quantum Information Processing
Nonlocal quantum information in bipartite quantum error correction
Quantum Information Processing
Normalization procedure for relaxation studies in NMR quantum information processing
Quantum Information Processing
Duality between smooth min- and max-entropies
IEEE Transactions on Information Theory
Entanglement-assisted communication of classical and quantum information
IEEE Transactions on Information Theory
Trading classical communication, quantum communication, and entanglement in quantum Shannon theory
IEEE Transactions on Information Theory
Causal inference using the algorithmic Markov condition
IEEE Transactions on Information Theory
What can quantum theory bring to information retrieval
CIKM '10 Proceedings of the 19th ACM international conference on Information and knowledge management
Neural Processing Letters
Proceedings of the Conference on Design, Automation and Test in Europe
Measurement-based and universal blind quantum computation
SFM'10 Proceedings of the Formal methods for quantitative aspects of programming languages, and 10th international conference on School on formal methods for the design of computer, communication and software systems
Performance analysis for genetic quantum circuit synthesis
ICAISC'10 Proceedings of the 10th international conference on Artifical intelligence and soft computing: Part II
Reversible circuit synthesis using a cycle-based approach
ACM Journal on Emerging Technologies in Computing Systems (JETC)
Design of reversible sequential circuits optimizing quantum cost, delay, and garbage outputs
ACM Journal on Emerging Technologies in Computing Systems (JETC)
Secure two-party quantum evaluation of unitaries against specious adversaries
CRYPTO'10 Proceedings of the 30th annual conference on Advances in cryptology
Other complexity classes and measures
Algorithms and theory of computation handbook
Exact canonical decomposition of two-qubit operators in terms of CNOT
Quantum Information Processing
How quantum is a quantum ensemble?
Quantum Information Processing
Quantum Information Processing
Distinguishing quantum channels via magic squares game
Quantum Information Processing
Quantum query algorithms for conjunctions
UC'10 Proceedings of the 9th international conference on Unconventional computation
Adaptive vs. self-adaptive parameters for evolving quantum circuits
ICES'10 Proceedings of the 9th international conference on Evolvable systems: from biology to hardware
Two-source extractors secure against quantum adversaries
APPROX/RANDOM'10 Proceedings of the 13th international conference on Approximation, and 14 the International conference on Randomization, and combinatorial optimization: algorithms and techniques
Universal test sets for reversible circuits
COCOON'10 Proceedings of the 16th annual international conference on Computing and combinatorics
A novel quantum genetic algorithm for PID controller
ICIC'10 Proceedings of the 6th international conference on Advanced intelligent computing theories and applications: intelligent computing
Scalable quantum consensus for crash failures
DISC'10 Proceedings of the 24th international conference on Distributed computing
Integration, the VLSI Journal
Quantum security in wireless sensor networks
Natural Computing: an international journal
The quantum capacity of channels with arbitrarily correlated noise
IEEE Transactions on Information Theory
Channel-optimized quantum error correction
IEEE Transactions on Information Theory
Prototype of a quantum cryptography system for the end user
ACS'09 Proceedings of the 9th WSEAS international conference on Applied computer science
An improved lower bound on query complexity for quantum PAC learning
Information Processing Letters
A separation between divergence and holevo information for ensembles
Mathematical Structures in Computer Science
Mathematical Structures in Computer Science
Quantum algorithmic methods for computational geometry
Mathematical Structures in Computer Science
Fault Models for Quantum Mechanical Switching Networks
Journal of Electronic Testing: Theory and Applications
Rule-based optimization of reversible circuits
Proceedings of the 2010 Asia and South Pacific Design Automation Conference
Bisimulation for quantum processes
Proceedings of the 38th annual ACM SIGPLAN-SIGACT symposium on Principles of programming languages
Transistor realization of reversible "ZS" series gates and reversible array multiplier
Microelectronics Journal
Geometric phase as a determinant of a qubit--- environment coupling
Quantum Information Processing
A hybrid classical-quantum clustering algorithm based on quantum walks
Quantum Information Processing
Experimentally feasible measures of distance between quantum operations
Quantum Information Processing
Quantum Information Processing
An Interview with Joseph F. Traub
Ubiquity
Quantum Circuits: From a Network to a One-Way Model
Electronic Notes in Theoretical Computer Science (ENTCS)
How to Randomly Flip a Quantum Bit
Electronic Notes in Theoretical Computer Science (ENTCS)
Partial Observation of Quantum Turing Machines and a Weaker Well-Formedness Condition
Electronic Notes in Theoretical Computer Science (ENTCS)
Computational Complexity in Non-Turing Models of Computation
Electronic Notes in Theoretical Computer Science (ENTCS)
Classical Knowledge for Quantum Security
Electronic Notes in Theoretical Computer Science (ENTCS)
Confluence Results for a Quantum Lambda Calculus with Measurements
Electronic Notes in Theoretical Computer Science (ENTCS)
Classical Representations of Qubit Channels
Electronic Notes in Theoretical Computer Science (ENTCS)
Strategies for designing geometric transformations on quantum images
Theoretical Computer Science
Testing non-isometry is QMA-complete
TQC'10 Proceedings of the 5th conference on Theory of quantum computation, communication, and cryptography
TQC'10 Proceedings of the 5th conference on Theory of quantum computation, communication, and cryptography
Complexity classes of equivalence problems revisited
Information and Computation
Realization and synthesis of reversible functions
Theoretical Computer Science
Unique Games with Entangled Provers Are Easy
SIAM Journal on Computing
Controlled gates for multi-level quantum computation
Quantum Information Processing
Auxiliary qubit selection: a physical synthesis technique for quantum circuits
Quantum Information Processing
Non-coherent attack on the ping-pong protocol with completely entangled pairs of qutrits
Quantum Information Processing
Synthesis of fredkin-toffoli reversible networks
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Novel quantum information solution to copy-protection and secured authentication
International Journal of Internet Technology and Secured Transactions
Sudden birth and sudden death of entanglement
Journal of Computational Methods in Sciences and Engineering - Atomic and molecular nonlinear optics: Theory, Experiment and Computation A homage to the pioneering work of Stanisław Kielich (1925-1993)
Paradoxes of measures of quantum entanglement and Bell's inequality violation in two-qubit systems
Journal of Computational Methods in Sciences and Engineering - Atomic and molecular nonlinear optics: Theory, Experiment and Computation A homage to the pioneering work of Stanisław Kielich (1925-1993)
Unbounded-error quantum computation with small space bounds
Information and Computation
An information-theoretic security analysis of quantum string sealing
ISP'07 Proceedings of the 6th WSEAS international conference on Information security and privacy
Unconditionally secure all-or-nothing disclosure of secrets based on POVM measurements
ICCOM'06 Proceedings of the 10th WSEAS international conference on Communications
The computational complexity of linear optics
Proceedings of the forty-third annual ACM symposium on Theory of computing
A lower bound method for quantum circuits
Information Processing Letters
Processing queries in session in a quantum-inspired IR framework
ECIR'11 Proceedings of the 33rd European conference on Advances in information retrieval
Quantum-inspired evolutionary algorithms: a survey and empirical study
Journal of Heuristics
Evolving quantum computer algorithms
Proceedings of the 13th annual conference companion on Genetic and evolutionary computation
A low complexity scheme for entanglement distributor buses
Quantum Information Processing
Operator-Schmidt decomposition and the geometrical edges of two-qubit gates
Quantum Information Processing
Entanglement and purity loss for the system of two 2-level atoms in the presence of the Stark shift
Quantum Information Processing
Tree search and quantum computation
Quantum Information Processing
Fault diagnosis in reversible circuits under missing-gate fault model
Computers and Electrical Engineering
Algebraic models of deviant modal operators based on de Morgan and Kleene lattices
Information Sciences: an International Journal
Improving ESOP-based synthesis of reversible logic using evolutionary algorithms
EvoApplications'11 Proceedings of the 2011 international conference on Applications of evolutionary computation - Volume Part II
Quantum information experiments with trapped ions: status and prospects
Quantum Information & Computation
Quantum Information & Computation
A promiseBQP-complete string rewriting problem
Quantum Information & Computation
Classical simulation of quantum computation, the Gottesman-Knill theorem, and slightly beyond
Quantum Information & Computation
Single-photon entanglement concentration for long-distance quantum communication
Quantum Information & Computation
Three-party entanglement in tripartite teleportation scheme through noisy channels
Quantum Information & Computation
Threshold error rates for the toric and planar codes
Quantum Information & Computation
Permutational quantum computing
Quantum Information & Computation
Families of bipartite states classifiable by the positive partial transposition criterion
Quantum Information & Computation
Universal quantum computation in a hidden basis
Quantum Information & Computation
Nuclear spin 3/2 electric quadrupole relaxation as a quantum computation process
Quantum Information & Computation
Macroscopic multi-species entanglement near quantum phase transitions
Quantum Information & Computation
Characterization of universal two-qubit hamiltonian
Quantum Information & Computation
A new entanglement measure: D-concurrence
Quantum Information & Computation
Measurable lower bounds on concurrence
Quantum Information & Computation
An efficient conversion of quantum circuits to a linear nearest neighbor architecture
Quantum Information & Computation
Mathematical framework for detection and quantification of nonclassical correlation
Quantum Information & Computation
Information reconciliation for quantum key distribution
Quantum Information & Computation
New families of asymmetric quantum BCH codes
Quantum Information & Computation
Block-based quantum-logic synthesis
Quantum Information & Computation
Global geometric entanglement in transverse-field XY spin chains: finite and infinite systems
Quantum Information & Computation
Multi-Bloch vector representation of the qutrit
Quantum Information & Computation
Coherence preservation in a Λ-type three-level atom
Quantum Information & Computation
Holonomic quantum computation with the Aharonov-Casher setup associated with topological defects
Quantum Information & Computation
Quantum Information & Computation
Universal simulation of Hamiltonians using a finite set of control operations
Quantum Information & Computation
Quantum algorithm for measuring the eigenvalues of U ⊗ U-1 for a black-box unitary transformation U
Quantum Information & Computation
Quantum algorithm for measuring the energy of n qubits with unknown pair-interactions
Quantum Information & Computation
Efficient universal quantum circuits
Quantum Information & Computation
C3, semi-clifford and generalized semi-clifford operations
Quantum Information & Computation
On the complexity of approximating the diamond norm
Quantum Information & Computation
The role of symmetries in adiabatic quantum algorithms
Quantum Information & Computation
Quantum Information & Computation
No-cloning theorem for a single POVM
Quantum Information & Computation
On steane's enlargement of calderbank-shor-steane codes
Quantum Information & Computation
Geometric measure of quantum discord under decoherence
Quantum Information & Computation
Symmetric states: local unitary equivalence via stabilizers
Quantum Information & Computation
Fast equivalence-checking for quantum circuits
Quantum Information & Computation
Controlled implementation of two-photon controlled phase gate within a network
Quantum Information & Computation
Quantum addition circuits and unbounded fan-out
Quantum Information & Computation
Time-optimal Hamiltonian simulation and gate synthesis using homogeneous local unitaries
Quantum Information & Computation
On quantum one-way permutations
Quantum Information & Computation
The density maxtrix for mixed state qubits and hyperbolic geometry
Quantum Information & Computation
Limit theorems and absorption problems for quantum random walks in one dimension
Quantum Information & Computation
On the structure of a reversible entanglement generating set for tripartite states
Quantum Information & Computation
Local vs. joint measurements for the entanglement of assistance
Quantum Information & Computation
Both Toffoli and controlled-NOT need little help to do universal quantum computing
Quantum Information & Computation
Entanglement and nonlocality for a mixture of pair-coherent states
Quantum Information & Computation
Circuit for Shor's algorithm using 2n+3 qubits
Quantum Information & Computation
Non-empty quantum dot as a spin-entangler
Quantum Information & Computation
A matrix realignment method for recognizing entanglement
Quantum Information & Computation
Quantum Information & Computation
Proposal for realization of a Toffoli gate via cavity-assisted atomic collision
Quantum Information & Computation
Entanglement of individual photon and atomic ensembles
Quantum Information & Computation
Precise creation, characterization, and manipulation of single optical qubits
Quantum Information & Computation
Ground state entanglement in quantum spin chains
Quantum Information & Computation
Statistical estimation of a quantum operation
Quantum Information & Computation
Quantum circuits for incompletely specified two-qubit operators
Quantum Information & Computation
An information theoretical model for quantum secret sharing
Quantum Information & Computation
An upper bound on the threshold quantum decoherence rate
Quantum Information & Computation
Quantum computing and polynomial equations over the finite field Z2
Quantum Information & Computation
Graph-based simulation of quantum computation in the density matrix representation
Quantum Information & Computation
Commutative version of the local Hamiltonian problem and common eigenspace problem
Quantum Information & Computation
Universal dynamical control of decay and decoherence for weak and strong system-bath coupling
Quantum Information & Computation
Stabilizing qubit coherence via tracking-control
Quantum Information & Computation
Bidirectional coherent classical communication
Quantum Information & Computation
System design for large-scale ion trap quantum information processor
Quantum Information & Computation
Recasting mermin's multi-player game into the framework of pseudo-telepathy
Quantum Information & Computation
Globally controlled artificial semiconducting molecules as quantum computers
Quantum Information & Computation
A comparison of decoherence-free subsystem/subspace for partially-broken symmetry
Quantum Information & Computation
The computational power of the W And GHZ States
Quantum Information & Computation
A quantum circuit for shor's factoring algorithm using 2n + 2 qubits
Quantum Information & Computation
A linear-size quantum circuit for addition with no ancillary qubits
Quantum Information & Computation
Two slightly-entangled NP-complete problems
Quantum Information & Computation
Transformation of quantum states using uniformly controlled rotations
Quantum Information & Computation
A simple proof of the strong subadditivity inequality
Quantum Information & Computation
Quantum computer with dipole-dipole interacting two-level systems
Quantum Information & Computation
A discrete local invariant for quantum gates
Quantum Information & Computation
A new algorithm for producing quantum circuits using KAK decompositions
Quantum Information & Computation
Quantum Information & Computation
A geometric approach to quantum circuit lower bounds
Quantum Information & Computation
Mixing and decoherence in continuous-time quantum walks on cycles
Quantum Information & Computation
Universal quantum computation with shutter logic
Quantum Information & Computation
Quantum advantage without entanglement
Quantum Information & Computation
Entanglement and its role in Shor's algorithm
Quantum Information & Computation
Teleportation via multi-qubit channels
Quantum Information & Computation
Invertible quantum operations and perfect encryption of quantum states
Quantum Information & Computation
Multiplayer quantum minority game with decoherence
Quantum Information & Computation
How to build a 300 bit, 1 Giga-operation quantum computer
Quantum Information & Computation
Convex hulls of varieties and entanglement measures based on the roof construction
Quantum Information & Computation
Universal quantum circuit for N-qubit quantum gate: a programmable quantum gate
Quantum Information & Computation
Quantum computation from a quantum logical perspective
Quantum Information & Computation
Computational complexity of the quantum separability problem
Quantum Information & Computation
The quantum fourier transform on a linear nearest neighbor architecture
Quantum Information & Computation
General classes of impossible operations through the existence of incomparable states
Quantum Information & Computation
Genuine tripartite entanglement semi-monotone for (2 × 2 × n)-dimentional systems
Quantum Information & Computation
High-fidelity single-qubit gates using non-adiabatic rapid passage
Quantum Information & Computation
Separability criteria based on the bloch representation of density matrices
Quantum Information & Computation
Unambiguous unitary quantum channels
Quantum Information & Computation
Practical effects in the preparation of cluster states using weak non-linearities
Quantum Information & Computation
The LU-LC conjecture, diagonal local operations and quadratic forms over GF(2)
Quantum Information & Computation
Optimal synthesis of linear reversible circuits
Quantum Information & Computation
Entanglement of formation of rotationally symmetric states
Quantum Information & Computation
Entanglement purification with two-way classical communication
Quantum Information & Computation
Quantum measurements for hidden subgroup problems with optimal sample complexity
Quantum Information & Computation
Quantum Information & Computation
Constructions for quantum computing with symmetrized gates
Quantum Information & Computation
A quantum repeater based on decoherence free subspaces
Quantum Information & Computation
Integrated optical approach to trapped ion quantum computation
Quantum Information & Computation
Quantum wavelet transforms of any order
Quantum Information & Computation
On the CNOT-cost of TOFFOLI gates
Quantum Information & Computation
On parallel composition of zero-knowledge proofs with black-box quantum simulators
Quantum Information & Computation
Topological cluster state quantum computing
Quantum Information & Computation
Exact universality from any entangling gate without inverses
Quantum Information & Computation
Relaxed uncertainty relations and information processing
Quantum Information & Computation
Quantum Information & Computation
New approach to quantum key distribution via quantum encryption
Quantum Information & Computation
Quantum multiplexing with optical coherent states
Quantum Information & Computation
An O(m2)-depth quantum algorithm for the elliptic curve discrete logarithm problem over GF(2m)a
Quantum Information & Computation
Correlation loss and multipartite entanglement across a black hole horizon
Quantum Information & Computation
Latency in local, two-dimensional, fault-tolerant quantum computing
Quantum Information & Computation
Connections between relative entropy of entanglement and geometric measure of entanglement
Quantum Information & Computation
Evolution from entanglement to decoherence of bipartite mixed "X" states
Quantum Information & Computation
Quantum information processing with hyperentangled photon states
Quantum Information & Computation
Mixing of quantum walk on circulant bunkbeds
Quantum Information & Computation
Robust cryptography in the noisy-quantum-storage model
Quantum Information & Computation
Quantum Information & Computation
On global effects caused by locally noneffective unitary operations
Quantum Information & Computation
Some properties of partial fidelities
Quantum Information & Computation
A fast quantum circuit for addition with few qubits
Quantum Information & Computation
On separability of graphs with some entangled edges
Quantum Information & Computation
The geometry of quantum computation
Quantum Information & Computation
Quantum Information & Computation
Quantum control and information processing in optical lattices
Quantum Information & Computation
Encoded universality from a single physical interaction
Quantum Information & Computation
NMR quantum computing: lessons for the future
Quantum Information & Computation
Estimating Jones polynomials is a complete problem for one clean qubit
Quantum Information & Computation
Quantum Information & Computation
Measuring 4-local qubit observables could probabilistically solve PSPACE
Quantum Information & Computation
Distinguishing quantum operations having few Kraus operators
Quantum Information & Computation
Variations on encoding circuits for stabilizer quantum codes
IWCC'11 Proceedings of the Third international conference on Coding and cryptology
Classification with imperfect labels for fault prediction
Proceedings of the First International Workshop on Data Mining for Service and Maintenance
Teleportation of composite systems for communication and information processing
Quantum Information & Computation
Computing stabilized norms for quantum operations via the theory of completely bounded maps
Quantum Information & Computation
Sub- and super-fidelity as bounds for quantum fidelity
Quantum Information & Computation
Quantum Information & Computation
A probabilistic interpretation for a geometric similarity measure
ECSQARU'11 Proceedings of the 11th European conference on Symbolic and quantitative approaches to reasoning with uncertainty
Advice coins for classical and quantum computation
ICALP'11 Proceedings of the 38th international colloquim conference on Automata, languages and programming - Volume Part I
Limitations on quantum dimensionality reduction
ICALP'11 Proceedings of the 38th international colloquim conference on Automata, languages and programming - Volume Part I
Classical cryptographic protocols in a quantum world
CRYPTO'11 Proceedings of the 31st annual conference on Advances in cryptology
Position-based quantum cryptography: impossibility and constructions
CRYPTO'11 Proceedings of the 31st annual conference on Advances in cryptology
Quantum finite automata and probabilistic reversible automata: R-trivial idempotent languages
MFCS'11 Proceedings of the 36th international conference on Mathematical foundations of computer science
Tripartite entanglement sudden death in Yang-Baxter systems
Quantum Information Processing
Catalysis of entanglement transformation for 2 × 2-dimensional mixed states
Quantum Information Processing
An analytic approach to the problem of separability of quantum states based upon the theory of cones
Quantum Information Processing
CALCO'11 Proceedings of the 4th international conference on Algebra and coalgebra in computer science
Classical and quantum parallelism in the quantum fingerprinting method
PaCT'11 Proceedings of the 11th international conference on Parallel computing technologies
A Quantum Representation for Involution Groups
Electronic Notes in Theoretical Computer Science (ENTCS)
Journal of the ACM (JACM)
Floyd--hoare logic for quantum programs
ACM Transactions on Programming Languages and Systems (TOPLAS)
Digital quantum simulation with Rydberg atoms
Quantum Information Processing
Scalable architecture for quantum information processing with atoms in optical micro-structures
Quantum Information Processing
Quantum computing implementations with neutral particles
Quantum Information Processing
Prospects for fast Rydberg gates on an atom chip
Quantum Information Processing
Multibit CkNOT quantum gates via Rydberg blockade
Quantum Information Processing
Controlling quantum information processing in hybrid systems on chips
Quantum Information Processing
Watermarking and authentication of quantum images based on restricted geometric transformations
Information Sciences: an International Journal
Higher Rank Numerical Ranges of Normal Matrices
SIAM Journal on Matrix Analysis and Applications
Short Seed Extractors against Quantum Storage
SIAM Journal on Computing
Entangled Games Are Hard to Approximate
SIAM Journal on Computing
An arbitrated quantum message signature scheme
CIS'04 Proceedings of the First international conference on Computational and Information Science
A realizable distributed ion-trap quantum computer
HiPC'06 Proceedings of the 13th international conference on High Performance Computing
Proceedings of the 3rd Innovations in Theoretical Computer Science Conference
Quantum integration error for some sobolev classes
ICNC'06 Proceedings of the Second international conference on Advances in Natural Computation - Volume Part II
Simulation of quantum open-loop control systems on a quantum computer
ICNC'06 Proceedings of the Second international conference on Advances in Natural Computation - Volume Part II
ETRICS'06 Proceedings of the 2006 international conference on Emerging Trends in Information and Communication Security
Improved output-sensitive quantum algorithms for Boolean matrix multiplication
Proceedings of the twenty-third annual ACM-SIAM symposium on Discrete Algorithms
Entanglement in interactive proof systems with binary answers
STACS'06 Proceedings of the 23rd Annual conference on Theoretical Aspects of Computer Science
Quantum algorithms for matching and network flows
STACS'06 Proceedings of the 23rd Annual conference on Theoretical Aspects of Computer Science
The one way to quantum computation
ICALP'06 Proceedings of the 33rd international conference on Automata, Languages and Programming - Volume Part II
On the impossibility of extracting classical randomness using a quantum computer
ICALP'06 Proceedings of the 33rd international conference on Automata, Languages and Programming - Volume Part II
A quantum computer architecture based on semiconductor recombination statistics
PCI'05 Proceedings of the 10th Panhellenic conference on Advances in Informatics
Quantum anonymous transmissions
ASIACRYPT'05 Proceedings of the 11th international conference on Theory and Application of Cryptology and Information Security
On the importance of parallelism for quantum computation and the concept of a universal computer
UC'05 Proceedings of the 4th international conference on Unconventional Computation
Quantum algorithms for a set of group theoretic problems
ICTCS'05 Proceedings of the 9th Italian conference on Theoretical Computer Science
An autonomous mobile robot based on quantum algorithm
CIS'05 Proceedings of the 2005 international conference on Computational Intelligence and Security - Volume Part I
COLT'06 Proceedings of the 19th annual conference on Learning Theory
UTP'06 Proceedings of the First international conference on Unifying Theories of Programming
Improved simulation of quantum random walks
ISCIS'05 Proceedings of the 20th international conference on Computer and Information Sciences
A quantum lower bound for the query complexity of simon's problem
ICALP'05 Proceedings of the 32nd international conference on Automata, Languages and Programming
All quantum adversary methods are equivalent
ICALP'05 Proceedings of the 32nd international conference on Automata, Languages and Programming
Quantum complexity of testing group commutativity
ICALP'05 Proceedings of the 32nd international conference on Automata, Languages and Programming
Improved lower bounds for locally decodable codes and private information retrieval
ICALP'05 Proceedings of the 32nd international conference on Automata, Languages and Programming
Characterizations of one-way general quantum finite automata
Theoretical Computer Science
An effective attack on the quantum key distribution protocol based on quantum encryption
CISC'05 Proceedings of the First SKLOIS conference on Information Security and Cryptology
Quantum Gate Circuit Model of Signal Integration in Bacterial Quorum Sensing
IEEE/ACM Transactions on Computational Biology and Bioinformatics (TCBB)
Universality of hybrid quantum gates and synthesis without ancilla qudits
CIAA'06 Proceedings of the 11th international conference on Implementation and Application of Automata
Faithful teleportation via multi-particle quantum states in a network with many agents
Quantum Information Processing
Quantum Information Processing
Optimal conditions for Bell-inequality violation in the presence of decoherence and errors
Quantum Information Processing
Equality conditions for the quantum f-relative entropy and generalized data processing inequalities
Quantum Information Processing
Designing the optimal quantum cloning machine for qubit case
Quantum Information Processing
Quantum circuits for spin and flavor degrees of freedom of quarks forming nucleons
Quantum Information Processing
Maximal entanglement from quantum random walks
Quantum Information Processing
ICANN'06 Proceedings of the 16th international conference on Artificial Neural Networks - Volume Part I
Bounds for error reduction with few quantum queries
APPROX'05/RANDOM'05 Proceedings of the 8th international workshop on Approximation, Randomization and Combinatorial Optimization Problems, and Proceedings of the 9th international conference on Randamization and Computation: algorithms and techniques
Boolean functions with a low polynomial degree and quantum query algorithms
SOFSEM'05 Proceedings of the 31st international conference on Theory and Practice of Computer Science
From quantum physics to programming languages: a process algebraic approach
UPP'04 Proceedings of the 2004 international conference on Unconventional Programming Paradigms
Reasoning about quantum knowledge
FSTTCS '05 Proceedings of the 25th international conference on Foundations of Software Technology and Theoretical Computer Science
Lower bounds on matrix rigidity via a quantum argument
ICALP'06 Proceedings of the 33rd international conference on Automata, Languages and Programming - Volume Part I
Algebraic characterizations of unitary linear quantum cellular automata
MFCS'06 Proceedings of the 31st international conference on Mathematical Foundations of Computer Science
Quantum weakly nondeterministic communication complexity
MFCS'06 Proceedings of the 31st international conference on Mathematical Foundations of Computer Science
The universal composable security of quantum key distribution
TCC'05 Proceedings of the Second international conference on Theory of Cryptography
Universally composable privacy amplification against quantum adversaries
TCC'05 Proceedings of the Second international conference on Theory of Cryptography
Exact Quantum Algorithms for the Leader Election Problem
ACM Transactions on Computation Theory (TOCT)
Numerical analysis on a quantum computer
LSSC'05 Proceedings of the 5th international conference on Large-Scale Scientific Computing
A quantum cipher with near optimal key-recycling
CRYPTO'05 Proceedings of the 25th annual international conference on Advances in Cryptology
Bounds on the power of constant-depth quantum circuits
FCT'05 Proceedings of the 15th international conference on Fundamentals of Computation Theory
Approximate quantum error-correcting codes and secret sharing schemes
EUROCRYPT'05 Proceedings of the 24th annual international conference on Theory and Applications of Cryptographic Techniques
Univariate and multivariate merit factors
SETA'04 Proceedings of the Third international conference on Sequences and Their Applications
An application of quantum finite automata to interactive proof systems (extended abstract)
CIAA'04 Proceedings of the 9th international conference on Implementation and Application of Automata
Quantum and classical communication-space tradeoffs from rectangle bounds
FSTTCS'04 Proceedings of the 24th international conference on Foundations of Software Technology and Theoretical Computer Science
Noise and the magic square game
Quantum Information Processing
Disappearance of entanglement: a topological point of view
Quantum Information Processing
Entanglement and Yangian in a $${V^{\otimes 3}}$$ Yang-Baxter system
Quantum Information Processing
Decoherence and entanglement degradation of a qubit-qutrit system in non-inertial frames
Quantum Information Processing
Asymmetric quantum Reed-Solomon and generalized Reed-Solomon codes
Quantum Information Processing
Quantum computation with write-only memory
Natural Computing: an international journal
Journal of Computer and System Sciences
ACSAC'05 Proceedings of the 10th Asia-Pacific conference on Advances in Computer Systems Architecture
Classical simulation and complexity of quantum computations
CSR'10 Proceedings of the 5th international conference on Computer Science: theory and Applications
Universally composable quantum multi-party computation
EUROCRYPT'10 Proceedings of the 29th Annual international conference on Theory and Applications of Cryptographic Techniques
Quantum information transfer from one system to another one
General Theory of Information Transfer and Combinatorics
An upper bound on the rate of information transfer by grover's oracle
General Theory of Information Transfer and Combinatorics
A strong converse theorem for quantum multiple access channels
General Theory of Information Transfer and Combinatorics
On probabilistic and quantum reaction systems
Theoretical Computer Science
A symbolic approach to quantum computation simulation
ICCS'06 Proceedings of the 6th international conference on Computational Science - Volume Part II
Machine learning in a quantum world
AI'06 Proceedings of the 19th international conference on Advances in Artificial Intelligence: Canadian Society for Computational Studies of Intelligence
Quantum predicative programming
MPC'06 Proceedings of the 8th international conference on Mathematics of Program Construction
Skew jensen-bregman voronoi diagrams
Transactions on Computational Science XIV
On the structure of protocols for magic state distillation
TQC'09 Proceedings of the 4th international conference on Theory of Quantum Computation, Communication, and Cryptography
Optimal state merging without decoupling
TQC'09 Proceedings of the 4th international conference on Theory of Quantum Computation, Communication, and Cryptography
Optimal trading of classical communication, quantum communication, and entanglement
TQC'09 Proceedings of the 4th international conference on Theory of Quantum Computation, Communication, and Cryptography
Quantum harmonic oscillator sonification
CMMR/ICAD'09 Proceedings of the 6th international conference on Auditory Display
MICAI'11 Proceedings of the 10th international conference on Artificial Intelligence: advances in Soft Computing - Volume Part II
The complexity of classical and quantum branching programs: a communication complexity approach
SAGA'05 Proceedings of the Third international conference on StochasticAlgorithms: foundations and applications
A new spin on quantum cryptography: avoiding trapdoors and embracing public keys
PQCrypto'11 Proceedings of the 4th international conference on Post-Quantum Cryptography
Random oracles in a quantum world
ASIACRYPT'11 Proceedings of the 17th international conference on The Theory and Application of Cryptology and Information Security
Attacks and improvements of QSDC schemes based on CSS codes
ICIC'11 Proceedings of the 7th international conference on Intelligent Computing: bio-inspired computing and applications
Ancilla-driven quantum computation with twisted graph states
Theoretical Computer Science
Teleportation with an imperfect state
Theoretical Computer Science
The circuit model of quantum computation and its simulation with mathematica
MMCP'11 Proceedings of the 2011 international conference on Mathematical Modeling and Computational Science
Exact and approximate quantum independent component analysis for qubit uncoupling
LVA/ICA'12 Proceedings of the 10th international conference on Latent Variable Analysis and Signal Separation
Metric and kernel learning using a linear transformation
The Journal of Machine Learning Research
Complete problem for perfect zero-knowledge quantum proof
SOFSEM'12 Proceedings of the 38th international conference on Current Trends in Theory and Practice of Computer Science
A hybrid method for quantum global optimization
Journal of Global Optimization
Quantum Counting: Algorithm and Error Distribution
Acta Applicandae Mathematicae: an international survey journal on applying mathematics and mathematical applications
Majorana representation of symmetric multiqubit states
Quantum Information Processing
Tools in the Riemannian geometry of quantum computation
Quantum Information Processing
Experimental architecture of joint remote state preparation
Quantum Information Processing
Entanglement observation among single mode bosonic field and an atom
Quantum Information Processing
On the role of a priori knowledge in the optimization of quantum information processing
Quantum Information Processing
Quantum money from hidden subspaces
STOC '12 Proceedings of the forty-fourth annual ACM symposium on Theory of computing
Certifiable quantum dice: or, true random number generation secure against quantum adversaries
STOC '12 Proceedings of the forty-fourth annual ACM symposium on Theory of computing
Span programs for functions with constant-sized 1-certificates: extended abstract
STOC '12 Proceedings of the forty-fourth annual ACM symposium on Theory of computing
Linear vs. semidefinite extended formulations: exponential separation and strong lower bounds
STOC '12 Proceedings of the forty-fourth annual ACM symposium on Theory of computing
Modeling the evolution of context in information retrieval
FDIA'08 Proceedings of the 2nd BCS IRSG conference on Future Directions in Information Access
Realizing reversible circuits using a new class of quantum gates
Proceedings of the 49th Annual Design Automation Conference
Current noise cross correlations and dynamical spin entanglement in coupled quantum dots
Quantum Information & Computation
Universality of sudden death of entanglement
Quantum Information & Computation
Private quantum channels, conditional expectations, and trace vectors
Quantum Information & Computation
Deciding unitary equivalence between matrix polynomials and sets of bipartite quantum states
Quantum Information & Computation
Entanglement for discrete-time quantum walks on the line
Quantum Information & Computation
Constructing arbitrary steane code single logical qubit fault-tolerant gates
Quantum Information & Computation
Entanglement distribution over the subsystems and its invariance
Quantum Information & Computation
Passively self-error-rejecting qubit transmission over a collective-noise channel
Quantum Information & Computation
Low temperature dynamics of netral atoms for quantum logic
Quantum Information & Computation
Quantum McEliece public-key cryptosystem
Quantum Information & Computation
Decomposition of orthogonal matrix and synthesis of two-qubit and three-qubit orthogonal gates
Quantum Information & Computation
Constant-optimized quantum circuits for modular multiplication and exponentiation
Quantum Information & Computation
Encryption with weakly random keys using a quantum ciphertext
Quantum Information & Computation
An intuitive proof of the data processing inequality
Quantum Information & Computation
Quantum Information & Computation
Achieving perfect completeness in classical-witness quantum merlin-arthur proof systems
Quantum Information & Computation
Matrices of fidelities for ensembles of quantum states and the holevo quantity
Quantum Information & Computation
On QMA protocols with two short quantum proofs
Quantum Information & Computation
How to counteract systematic errors in quantum state transfer
Quantum Information & Computation
Recovery in quantum error correction for general noise without measurement
Quantum Information & Computation
Nearly deterministic controlled-not gate with weak cross-kerr nonlinearities
Quantum Information & Computation
On using a quantum physics formalism for multidocument summarization
Journal of the American Society for Information Science and Technology
Optimization of reversible circuits using reconfigured templates
RC'11 Proceedings of the Third international conference on Reversible Computation
Hybrid GF(2) --- boolean expressions ..for quantum computing circuits
RC'11 Proceedings of the Third international conference on Reversible Computation
RevKit: an open source toolkit for the design of reversible circuits
RC'11 Proceedings of the Third international conference on Reversible Computation
Transforming MCT circuits to NCVW circuits
RC'11 Proceedings of the Third international conference on Reversible Computation
Changing the gate order for optimal LNN conversion
RC'11 Proceedings of the Third international conference on Reversible Computation
EUROCRYPT'12 Proceedings of the 31st Annual international conference on Theory and Applications of Cryptographic Techniques
Mathematical and Computer Modelling: An International Journal
A Θ( √ n)-depth quantum adder on the 2D NTC quantum computer architecture
ACM Journal on Emerging Technologies in Computing Systems (JETC)
Quantum Measurement-Based Feedback Control: A Nonsmooth Time Delay Control Approach
SIAM Journal on Control and Optimization
SIAM Journal on Matrix Analysis and Applications
A surprisingly simple way of reversing trace distance via entanglement
TAMC'12 Proceedings of the 9th Annual international conference on Theory and Applications of Models of Computation
Tensor rank and strong quantum nondeterminism in multiparty communication
TAMC'12 Proceedings of the 9th Annual international conference on Theory and Applications of Models of Computation
Reversible circuits: recent accomplishments and future challenges for an emerging technology
VDAT'12 Proceedings of the 16th international conference on Progress in VLSI Design and Test
Parallel quantum algorithm for finding the consistency of saaty's matrices
PPAM'11 Proceedings of the 9th international conference on Parallel Processing and Applied Mathematics - Volume Part I
PPAM'11 Proceedings of the 9th international conference on Parallel Processing and Applied Mathematics - Volume Part I
Quantum Testers for Hidden Group Properties
Fundamenta Informaticae - Machines, Computations and Universality, Part II
Quantum counterfeit coin problems
Theoretical Computer Science
Modelling and Simulation of Quantum Teleportation and Dense Coding Using Predicate/Transition-Nets
Fundamenta Informaticae - Concurrency Specification and Programming (CS&P)
Intrinsically universal n-dimensional quantum cellular automata
Journal of Computer and System Sciences
On ancient coin classification
VAST'07 Proceedings of the 8th International conference on Virtual Reality, Archaeology and Intelligent Cultural Heritage
Epistemic Quantum Computational Structures in a Hilbert-space Environment
Fundamenta Informaticae - From Physics to Computer Science: to Gianpiero Cattaneo for his 70th birthday
Quantum walks: a comprehensive review
Quantum Information Processing
Efficiency of open quantum walk implementation of dissipative quantum computing algorithms
Quantum Information Processing
Nearly private information retrieval
MFCS'07 Proceedings of the 32nd international conference on Mathematical Foundations of Computer Science
MFCS'07 Proceedings of the 32nd international conference on Mathematical Foundations of Computer Science
Unbounded-error one-way classical and quantum communication complexity
ICALP'07 Proceedings of the 34th international conference on Automata, Languages and Programming
A lower bound on entanglement-assisted quantum communication complexity
ICALP'07 Proceedings of the 34th international conference on Automata, Languages and Programming
Unconventional models of computation through non-standard logic circuits
UC'07 Proceedings of the 6th international conference on Unconventional Computation
Quantum authenticated key distribution
UC'07 Proceedings of the 6th international conference on Unconventional Computation
Taming non-compositionality using new binders
UC'07 Proceedings of the 6th international conference on Unconventional Computation
Gravitational topological quantum computation
UC'07 Proceedings of the 6th international conference on Unconventional Computation
Yang-Baxter $${\breve R}$$ matrix, entanglement and Yangian
Quantum Information Processing
Theoretical comparison of quantum Zeno gates and logic gates based on the cross-Kerr nonlinearity
Quantum Information Processing
Classical-processing and quantum-processing signal separation methods for qubit uncoupling
Quantum Information Processing
The quantum dynamic capacity formula of a quantum channel
Quantum Information Processing
Entanglement in dipolar coupling spin system in equilibrium state
Quantum Information Processing
Optimal correction of concatenated fault-tolerant quantum codes
Quantum Information Processing
Fano type quantum inequalities in terms of q-entropies
Quantum Information Processing
Different dynamics of classical and quantum correlations under decoherence
Quantum Information Processing
Topological order in 1D Cluster state protected by symmetry
Quantum Information Processing
Bisimulation for Quantum Processes
ACM Transactions on Programming Languages and Systems (TOPLAS)
The fragility of quantum information?
TPNC'12 Proceedings of the First international conference on Theory and Practice of Natural Computing
Reachability and termination analysis of concurrent quantum programs
CONCUR'12 Proceedings of the 23rd international conference on Concurrency Theory
A 802.11 MAC Protocol Adaptation for Quantum Communications
DS-RT '12 Proceedings of the 2012 IEEE/ACM 16th International Symposium on Distributed Simulation and Real Time Applications
Open bisimulation for quantum processes
TCS'12 Proceedings of the 7th IFIP TC 1/WG 202 international conference on Theoretical Computer Science
Proceedings of the 4th conference on Innovations in Theoretical Computer Science
Stronger methods of making quantum interactive proofs perfectly complete
Proceedings of the 4th conference on Innovations in Theoretical Computer Science
New circular quantum secret sharing for remote agents
Quantum Information Processing
An inter-bank E-payment protocol based on quantum proxy blind signature
Quantum Information Processing
A centralized quantum switch network based on probabilistic channels
Quantum Information Processing
Closed-form formula on quantum factorization effectiveness
Quantum Information Processing
Quantum Information Processing
Sequential, successive, and simultaneous decoders for entanglement-assisted classical communication
Quantum Information Processing
Complete Greenberger---Horne---Zeilinger state analyzer using hyperentanglement
Quantum Information Processing
On the construction of stabilizer codes with an arbitrary binary matrix
Quantum Information Processing
Entanglement dynamics of non-inertial observers in a correlated environment
Quantum Information Processing
Genuine three-partite entanglement in coherent states via permutation and parity symmetries
Quantum Information Processing
One-qubit quantum gates associated with topological defects in solids
Quantum Information Processing
Three-player quantum Kolkata restaurant problem under decoherence
Quantum Information Processing
Classification of the entangled states 2 × M × N
Quantum Information Processing
Describing and optimising reversible logic using a functional language
IFL'11 Proceedings of the 23rd international conference on Implementation and Application of Functional Languages
A quantization procedure based on completely positive maps and Markov operators
Quantum Information Processing
Partial standard quantum process tomography
Quantum Information Processing
Hierarchical quantum information splitting with eight-qubit cluster states
Quantum Information Processing
Classical rules and quantum strategies in penny flip game
Quantum Information Processing
High-efficiency multipartite entanglement purification of electron-spin states with charge detection
Quantum Information Processing
Pairwise quantum correlations of a three-qubit XY chain with phase decoherence
Quantum Information Processing
Efficient entanglement concentration for arbitrary less-entangled NOON states
Quantum Information Processing
Character of superposed states under deterministic LOCC
Quantum Information Processing
Influence of detector motion on discrimination between photon polarizations
Quantum Information Processing
Enhancing quantum discord in superconducting qubit systems by a controllable electromagnetic field
Quantum Information Processing
Explicit error syndrome calculation for quantum graph codes
Quantum Information Processing
Quantum speed-up for unsupervised learning
Machine Learning
Synthesis and optimization of reversible circuits—a survey
ACM Computing Surveys (CSUR)
BDD-Based Synthesis of Reversible Logic
International Journal of Applied Metaheuristic Computing
Quantifying Complexity in Networks: The von Neumann Entropy
International Journal of Agent Technologies and Systems
International Journal of Cognitive Informatics and Natural Intelligence
Quantum control and information processing
Quantum Information Processing
Entanglement dynamics of two-qubit pure state
Quantum Information Processing
Tripartite entanglement of electron spins of noninteracting electron gases
Quantum Information Processing
Gaussian quantum computation with oracle-decision problems
Quantum Information Processing
Adiabatic quantum optimization with qudits
Quantum Information Processing
Correlation quantum beats induced by non-Markovian effect
Quantum Information Processing
Quantum Information Processing
Equivalence checking of quantum protocols
TACAS'13 Proceedings of the 19th international conference on Tools and Algorithms for the Construction and Analysis of Systems
Quantum interference of photons in simple networks
Quantum Information Processing
Quantum teleportation and superdense coding through the composite W-Bell channel
Quantum Information Processing
Quantum search with certainty based on modified Grover algorithms: optimum choice of parameters
Quantum Information Processing
Efficient entanglement concentration for quantum dot and optical microcavities systems
Quantum Information Processing
Non-Pauli observables for CWS codes
Quantum Information Processing
Relational Hidden Variables and Non-Locality
Studia Logica
A two-tier scheme for greyscale quantum image watermarking and recovery
International Journal of Innovative Computing and Applications
Derivation of test set for detecting multiple missing-gate faults in reversible circuits
Computers and Electrical Engineering
OR Forum---Quantum Mechanics and Human Decision Making
Operations Research
Quantum fourier transform over symmetric groups
Proceedings of the 38th international symposium on International symposium on symbolic and algebraic computation
Image storage, retrieval, compression and segmentation in a quantum system
Quantum Information Processing
A class of quantum low-density parity check codes by combining seed graphs
Quantum Information Processing
Attack and improvements of fair quantum blind signature schemes
Quantum Information Processing
Number-phase uncertainty relations in terms of generalized entropies
Quantum Information & Computation
Application of indirect Hamiltonian tomography to complex systems with short coherence times
Quantum Information & Computation
Local solutions of maximum likelihood estimation in quantum state tomography
Quantum Information & Computation
Quantum codes from codes over Gaussian integers with respect to the Mannheim metric
Quantum Information & Computation
On nonbinary quantum convolutional BCH codes
Quantum Information & Computation
Quantum phase estimation with arbitrary constant-precision phase shift operators
Quantum Information & Computation
Commuting quantum circuits: efficient classical simulations versus hardness results
Quantum Information & Computation
Quantum binary field inversion: improved circuit depth via choice of basis representation
Quantum Information & Computation
QMA variants with polynomially many provers
Quantum Information & Computation
Lower bounds for quantum oblivious transfer
Quantum Information & Computation
Optical detection of quantum entanglement between two quantum dots near a metal nanoparticle
Quantum Information & Computation
Multipartite entanglement in XOR games
Quantum Information & Computation
The robustness of magic state distillation against errors in Clifford gates
Quantum Information & Computation
Reversible logic synthesis of k-input, m-output lookup tables
Proceedings of the Conference on Design, Automation and Test in Europe
Quantum weakly nondeterministic communication complexity
Theoretical Computer Science
Inverting well conditioned matrices in quantum logspace
Proceedings of the forty-fifth annual ACM symposium on Theory of computing
Superlinear advantage for exact quantum algorithms
Proceedings of the forty-fifth annual ACM symposium on Theory of computing
Optimization of quantum circuits for interaction distance in linear nearest neighbor architectures
Proceedings of the 50th Annual Design Automation Conference
Design of efficient reversible logic-based binary and BCD adder circuits
ACM Journal on Emerging Technologies in Computing Systems (JETC)
Mach-zehnder interferometer based design of all optical reversible binary adder
DATE '12 Proceedings of the Conference on Design, Automation and Test in Europe
Automatic design of low-power encoders using reversible circuit synthesis
DATE '12 Proceedings of the Conference on Design, Automation and Test in Europe
Quantum set intersection and its application to associative memory
The Journal of Machine Learning Research
Cryptography and Communications
Simulation of quantum error correction by means of QuantumCircuit package
Programming and Computing Software
Abstract resource cost derivation for logical quantum circuit descriptions
Proceedings of the 1st annual workshop on Functional programming concepts in domain-specific languages
Title Natural computing: A problem solving paradigm with granular information processing
Applied Soft Computing
Quantum teleportation with partially entangled states via noisy channels
Quantum Information Processing
Asymmetric quantum codes: new codes from old
Quantum Information Processing
Entanglement detection and distillation for arbitrary bipartite systems
Quantum Information Processing
NEQR: a novel enhanced quantum representation of digital images
Quantum Information Processing
Single-tape and multi-tape Turing machines through the lens of the Grossone methodology
The Journal of Supercomputing
State succinctness of two-way finite automata with quantum and classical states
Theoretical Computer Science
Constant-Factor optimization of quantum adders on 2d quantum architectures
RC'13 Proceedings of the 5th international conference on Reversible Computation
On the "Q" in QMDDs: efficient representation of quantum functionality in the QMDD data-structure
RC'13 Proceedings of the 5th international conference on Reversible Computation
Reversible circuit synthesis of symmetric functions using a simple regular structure
RC'13 Proceedings of the 5th international conference on Reversible Computation
Exploiting negative control lines in the optimization of reversible circuits
RC'13 Proceedings of the 5th international conference on Reversible Computation
Reducing the depth of quantum circuits using additional circuit lines
RC'13 Proceedings of the 5th international conference on Reversible Computation
Quantum process calculus for linear optical quantum computing
RC'13 Proceedings of the 5th international conference on Reversible Computation
Reachability probabilities of quantum markov chains
CONCUR'13 Proceedings of the 24th international conference on Concurrency Theory
Entanglement capabilities of the spin representation of (3+1)D-conformal transformations
Quantum Information & Computation
A 2D nearest-neighbor quantum architecture for factoring in polylogarithmic depth
Quantum Information & Computation
Subsystem surface codes with three-qubit check operators
Quantum Information & Computation
Quantum Information & Computation
Multiaccess quantum communication and product higher rank numerical range
Quantum Information & Computation
Fast and efficient exact synthesis of single-qubit unitaries generated by clifford and T gates
Quantum Information & Computation
Most robust and fragile two-qubit entangled states under depolarizing channels
Quantum Information & Computation
Upper bounds on the rate of low density stabilizer codes for the quantum erasure channel
Quantum Information & Computation
Full characterization of quantum correlated equilibria
Quantum Information & Computation
Adversarial hypothesis testing and a quantum stein's lemma for restricted measurements
Proceedings of the 5th conference on Innovations in theoretical computer science
Building one-time memories from isolated qubits: (extended abstract)
Proceedings of the 5th conference on Innovations in theoretical computer science
Online Testable Approaches in Reversible Logic
Journal of Electronic Testing: Theory and Applications
Dissipative and non-dissipative single-qubit channels: dynamics and geometry
Quantum Information Processing
Symmetric quantum fully homomorphic encryption with perfect security
Quantum Information Processing
An additional condition for Bell experiments for accepting local realistic theories
Quantum Information Processing
On upper bounds for toroidal mosaic numbers
Quantum Information Processing
Quantum Information Processing
A novel quantum representation for log-polar images
Quantum Information Processing
Recovering quantum correlations from amplitude damping decoherence by weak measurement reversal
Quantum Information Processing
Generation of NOON states via Raman transitions in a bimodal cavity
Quantum Information Processing
Efficient bidirectional quantum secure communication with two-photon entanglement
Quantum Information Processing
Multi-color continuous-variable entangled optical beams generated by NOPOs
Quantum Information Processing
Stationary quantum correlations in Tavis---Cumming model induced by continuous dephasing process
Quantum Information Processing
An efficient quantum search engine on unsorted database
Quantum Information Processing
A quantum physical design flow using ILP and graph drawing
Quantum Information Processing
Testing Hardy's ladder proof of nonlocality by joint measurements of qubits
Quantum Information Processing
On Block Structures in Quantum Computation
Electronic Notes in Theoretical Computer Science (ENTCS)
RMDDS: Reed-muller decision diagram synthesis of reversible logic circuits
ACM Journal on Emerging Technologies in Computing Systems (JETC)
Trading off circuit lines and gate costs in the synthesis of reversible logic
Integration, the VLSI Journal
Observables on quantum structures
Information Sciences: an International Journal
Quantum computing and communications - Introduction and challenges
Computers and Electrical Engineering
Solving large-scale optimization problems related to Bell's Theorem
Journal of Computational and Applied Mathematics
Quantum novel genetic algorithm based on parallel subpopulation computing and its application
Artificial Intelligence Review
Decoherence effects in the quantum qubit flip game using Markovian approximation
Quantum Information Processing
Quantum decision tree classifier
Quantum Information Processing
Lower bound of concurrence for qubit systems
Quantum Information Processing
A quantum genetic algorithm with quantum crossover and mutation operations
Quantum Information Processing
An alternate quantum adiabatic evolution for the Deutsch---Jozsa problem
Quantum Information Processing
Entangled spin states in geodesic motion around massive body
Quantum Information Processing
A sessional blind signature based on quantum cryptography
Quantum Information Processing
The quantum cryptographic switch
Quantum Information Processing
Quantum Information Processing
Asymmetric "4+2" protocol for quantum key distribution with finite resources
Quantum Information Processing
A universal quantum circuit scheme for finding complex eigenvalues
Quantum Information Processing
Considering nearest neighbor constraints of quantum circuits at the reversible circuit level
Quantum Information Processing
Quantum Information Processing
Three-party remote state preparation schemes based on entanglement
Quantum Information Processing
Selecting efficient phase estimation with constant-precision phase shift operators
Quantum Information Processing
Quantum Information Processing
Entropic measure and hypergraph states
Quantum Information Processing
Quantum teleportation and dense coding via topological basis
Quantum Information Processing
Novel image encryption/decryption based on quantum Fourier transform and double phase encoding
Quantum Information Processing
Quantum discord in spin systems with dipole---dipole interaction
Quantum Information Processing
Quantum Information Processing
Topological Structure of Quantum Algorithms
LICS '13 Proceedings of the 2013 28th Annual ACM/IEEE Symposium on Logic in Computer Science
Dualities and identities for entanglement-assisted quantum codes
Quantum Information Processing
Quantum Information Processing
Quantum Information Processing
Proving the Power of Postselection
Fundamenta Informaticae - MFCS & CSL 2010 Satellite Workshops: Selected Papers
Adaptive-type servo controller utilizing a quantum neural network with qubit neurons
International Journal of Hybrid Intelligent Systems
Histogram-based segmentation of quantum images
Theoretical Computer Science
Effects of quantum error correction on entanglement sudden death
Quantum Information & Computation
Classical simulations of Abelian-group normalizer circuits with intermediate measurements
Quantum Information & Computation
Quantum Information & Computation
Quantum Information & Computation
Hi-index | 1.03 |