Reasoning About Time in Higher-Level Language Software
IEEE Transactions on Software Engineering
The Omega test: a fast and practical integer programming algorithm for dependence analysis
Proceedings of the 1991 ACM/IEEE conference on Supercomputing
Performance analysis of embedded software using implicit path enumeration
DAC '95 Proceedings of the 32nd annual ACM/IEEE Design Automation Conference
Performance estimation of embedded software with instruction cache modeling
ICCAD '95 Proceedings of the 1995 IEEE/ACM international conference on Computer-aided design
Computing Maximum Task Execution Times — A Graph-BasedApproach
Real-Time Systems
Embedded program timing analysis based on path clustering and architecture classification
ICCAD '97 Proceedings of the 1997 IEEE/ACM international conference on Computer-aided design
Bounding Pipeline and Instruction Cache Performance
IEEE Transactions on Computers
Performance estimation of embedded software with instruction cache modeling
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Model checking
Efficient and Precise Cache Behavior Prediction for Real-TimeSystems
Real-Time Systems
Timing Analysis for Data and Wrap-Around Fill Caches
Real-Time Systems
Cache behavior prediction by abstract interpretation
Science of Computer Programming
Complete worst-case execution time analysis of straight-line hard real-time programs
Journal of Systems Architecture: the EUROMICRO Journal - Special issue on real-time systems
Supporting Timing Analysis by Automatic Bounding of LoopIterations
Real-Time Systems - Special issue on worst-case execution-time analysis
Fast and Precise WCET Prediction by Separated Cache andPath Analyses
Real-Time Systems - Special issue on worst-case execution-time analysis
Timing Analysis for Instruction Caches
Real-Time Systems - Special issue on worst-case execution-time analysis
Worst Case Execution Time Analysis for a Processor withBranch Prediction
Real-Time Systems - Special issue on worst-case execution-time analysis
An open graph visualization system and its applications to software engineering
Software—Practice & Experience - Special issue on discrete algorithm engineering
OM '01 Proceedings of the 2001 ACM SIGPLAN workshop on Optimization of middleware and distributed systems
Efficient longest executable path search for programs with complex flows and pipeline effects
CASES '01 Proceedings of the 2001 international conference on Compilers, architecture, and synthesis for embedded systems
Path clustering in software timing analysis
IEEE Transactions on Very Large Scale Integration (VLSI) Systems - System Level Design
POPL '77 Proceedings of the 4th ACM SIGACT-SIGPLAN symposium on Principles of programming languages
Principles of Program Analysis
Principles of Program Analysis
Measuring Experimental Error in Microprocessor Simulation
ISCA '01 Proceedings of the 28th annual international symposium on Computer architecture
Behavioral Intervals in Embedded Software: Timing and Power Analysis of Embedded Real-Time Software Processes
Timing analysis of embedded software for speculative processors
Proceedings of the 15th international symposium on System Synthesis
An Accurate Worst Case Timing Analysis for RISC Processors
IEEE Transactions on Software Engineering
Automatic detection and exploitation of branch constraints for timing analysis
IEEE Transactions on Software Engineering
Reliable and Precise WCET Determination for a Real-Life Processor
EMSOFT '01 Proceedings of the First International Workshop on Embedded Software
ILP-Based Interprocedural Path Analysis
EMSOFT '02 Proceedings of the Second International Conference on Embedded Software
Pipeline Modeling for Timing Analysis
SAS '02 Proceedings of the 9th International Symposium on Static Analysis
Accurate timing analysis by modeling caches, speculation and their interaction
Proceedings of the 40th annual Design Automation Conference
Scope-Tree: A Program Representation for Symbolic Worst-Case Execution Time Analysis
ECRTS '02 Proceedings of the 14th Euromicro Conference on Real-Time Systems
Fully Automatic Worst-Case Execution Time Analysis for Matlab/Simulink Models
ECRTS '02 Proceedings of the 14th Euromicro Conference on Real-Time Systems
Bounding Loop Iterations for Timing Analysis
RTAS '98 Proceedings of the Fourth IEEE Real-Time Technology and Applications Symposium
Tighter Timing Predictions by Automatic Detection and Exploitation of Value-Dependent Constraints
RTAS '99 Proceedings of the Fifth IEEE Real-Time Technology and Applications Symposium
A Method to Improve the Estimated Worst-Case Performance of Data Caching
RTCSA '99 Proceedings of the Sixth International Conference on Real-Time Computing Systems and Applications
Efficient microarchitecture modeling and path analysis for real-time software
RTSS '95 Proceedings of the 16th IEEE Real-Time Systems Symposium
Integrating the timing analysis of pipelining and instruction caching
RTSS '95 Proceedings of the 16th IEEE Real-Time Systems Symposium
Testing the Results of Static Worst-Case Execution-Time Analysis
RTSS '98 Proceedings of the IEEE Real-Time Systems Symposium
Timing Anomalies in Dynamically Scheduled Microprocessors
RTSS '99 Proceedings of the 20th IEEE Real-Time Systems Symposium
Low-Complexity Algorithms for Static Cache Locking in Multitasking Hard Real-Time Systems
RTSS '02 Proceedings of the 23rd IEEE Real-Time Systems Symposium
WCET Analysis of Probabilistic Hard Real-Time Systems
RTSS '02 Proceedings of the 23rd IEEE Real-Time Systems Symposium
Virtual simple architecture (VISA): exceeding the complexity limit in safe real-time systems
Proceedings of the 30th annual international symposium on Computer architecture
A Modular & Retargetable Framework for Tree-Based WCET Analysis
ECRTS '01 Proceedings of the 13th Euromicro Conference on Real-Time Systems
Worst-Case Execution Time Analysis of the RTEMS Real-Time Operating System
ECRTS '01 Proceedings of the 13th Euromicro Conference on Real-Time Systems
FAST: Frequency-Aware Static Timing Analysis
RTSS '03 Proceedings of the 24th IEEE International Real-Time Systems Symposium
Multiple process execution in cache related preemption delay analysis
Proceedings of the 4th ACM international conference on Embedded software
Design for Timing Predictability
Real-Time Systems
Modeling control speculation for timing analysis
Real-Time Systems
Modeling Out-of-Order Processors for Software Timing Analysis
RTSS '04 Proceedings of the 25th IEEE International Real-Time Systems Symposium
RTSS '04 Proceedings of the 25th IEEE International Real-Time Systems Symposium
Power Efficient Processor Architecture and The Cell Processor
HPCA '05 Proceedings of the 11th International Symposium on High-Performance Computer Architecture
Automatic Timing Model Generation by CFG Partitioning and Model Checking
Proceedings of the conference on Design, Automation and Test in Europe - Volume 1
Bounding Worst-Case Data Cache Behavior by Analytically Deriving Cache Reference Patterns
RTAS '05 Proceedings of the 11th IEEE Real Time on Embedded Technology and Applications Symposium
Timing Analysis for Sensor Network Nodes of the Atmega Processor Family
RTAS '05 Proceedings of the 11th IEEE Real Time on Embedded Technology and Applications Symposium
Measurement-Based Worst-Case Execution Time Analysis
SEUS '05 Proceedings of the Third IEEE Workshop on Software Technologies for Future Embedded and Ubiquitous Systems
Clustered Worst-Case Execution-Time Calculation
IEEE Transactions on Computers
Applying Static WCET Analysis to Automotive Communication Software
ECRTS '05 Proceedings of the 17th Euromicro Conference on Real-Time Systems
Scheduling Analysis of Real-Time Systems with Precise Modeling of Cache Related Preemption Delay
ECRTS '05 Proceedings of the 17th Euromicro Conference on Real-Time Systems
Experiments with WCET-Oriented Programming and the Single-Path Architecture
WORDS '05 Proceedings of the 10th IEEE International Workshop on Object-Oriented Real-Time Dependable Systems
Towards a Flow Analysis for Embedded System C Programs
WORDS '05 Proceedings of the 10th IEEE International Workshop on Object-Oriented Real-Time Dependable Systems
Queue - Multiprocessors
Estimating the Worst-Case Energy Consumption of Embedded Software
RTAS '06 Proceedings of the 12th IEEE Real-Time and Embedded Technology and Applications Symposium
Worst case timing analysis of input dependent data cache behavior
ECRTS '06 Proceedings of the 18th Euromicro Conference on Real-Time Systems
Probabilistic timing analysis: An approach using copulas
Journal of Embedded Computing - Real-Time Systems (Euromicro RTS-03)
Static timing analysis of real-time operating system code
ISoLA'04 Proceedings of the First international conference on Leveraging Applications of Formal Methods
Chronos: A timing analyzer for embedded software
Science of Computer Programming
Feedback scheduling of real-time divisible loads in clusters
ACM SIGBED Review - Special issue on the the 14th IEEE real-time and embedded technology and applications symposium (RTAS'08) WIP session
Proceedings of the conference on Design, automation and test in Europe
Towards Model-Driven Development of Hard Real-Time Systems
Model-Driven Development of Reliable Automotive Services
CAV '08 Proceedings of the 20th international conference on Computer Aided Verification
Time-predictable memory arbitration for a Java chip-multiprocessor
JTRES '08 Proceedings of the 6th international workshop on Java technologies for real-time and embedded systems
Reliable performance analysis of a multicore multithreaded system-on-chip
CODES+ISSS '08 Proceedings of the 6th IEEE/ACM/IFIP international conference on Hardware/Software codesign and system synthesis
Performance debugging of Esterel specifications
CODES+ISSS '08 Proceedings of the 6th IEEE/ACM/IFIP international conference on Hardware/Software codesign and system synthesis
TimeAdapt: timely execution of dynamic software reconfigurations
Proceedings of the 5th Middleware doctoral symposium
SPEED: precise and efficient static estimation of program computational complexity
Proceedings of the 36th annual ACM SIGPLAN-SIGACT symposium on Principles of programming languages
Cache-aware timing analysis of streaming applications
Real-Time Systems
Communications of the ACM - Security in the Browser
Predictable dynamic instruction scratchpad for simultaneous multithreaded processors
Proceedings of the 9th workshop on MEmory performance: DEaling with Applications, systems and architecture
Game-theoretic timing analysis
Proceedings of the 2008 IEEE/ACM International Conference on Computer-Aided Design
Compilation and worst-case reaction time analysis for multithreaded Esterel processing
EURASIP Journal on Embedded Systems - Model-driven high-level programming of embedded systems: selected papers from SLA++P'07 and SLA++P'08
Context-Aware Adaptive Services: The PLASTIC Approach
FASE '09 Proceedings of the 12th International Conference on Fundamental Approaches to Software Engineering: Held as Part of the Joint European Conferences on Theory and Practice of Software, ETAPS 2009
Live heap space analysis for languages with garbage collection
Proceedings of the 2009 international symposium on Memory management
Push-assisted migration of real-time tasks in multi-core processors
Proceedings of the 2009 ACM SIGPLAN/SIGBED conference on Languages, compilers, and tools for embedded systems
Control-flow refinement and progress invariants for bound analysis
Proceedings of the 2009 ACM SIGPLAN conference on Programming language design and implementation
Time-predictable computer architecture
EURASIP Journal on Embedded Systems - FPGA supercomputing platforms, architectures, and techniques for accelerating computationally complex algorithms
SPEED: Symbolic Complexity Bound Analysis
CAV '09 Proceedings of the 21st International Conference on Computer Aided Verification
An early real-time checker for retargetable compile-time analysis
Proceedings of the 22nd Annual Symposium on Integrated Circuits and System Design: Chip on the Dunes
Abstract Interpretation of FIFO Replacement
SAS '09 Proceedings of the 16th International Symposium on Static Analysis
Microprocessors & Microsystems
A predictable Java profile: rationale and implementations
Proceedings of the 7th International Workshop on Java Technologies for Real-Time and Embedded Systems
Symbolic state traversal for WCET analysis
EMSOFT '09 Proceedings of the seventh ACM international conference on Embedded software
Cache-aware scheduling and analysis for multicores
EMSOFT '09 Proceedings of the seventh ACM international conference on Embedded software
Probabilistic modeling of data cache behavior
EMSOFT '09 Proceedings of the seventh ACM international conference on Embedded software
Dataflow models for shared memory access latency analysis
EMSOFT '09 Proceedings of the seventh ACM international conference on Embedded software
CheckerCore: enhancing an FPGA soft core to capture worst-case execution times
CASES '09 Proceedings of the 2009 international conference on Compilers, architecture, and synthesis for embedded systems
Tight WCRT analysis of synchronous C programs
CASES '09 Proceedings of the 2009 international conference on Compilers, architecture, and synthesis for embedded systems
System level performance analysis for real-time automotive multicore and network architectures
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
Platform-Specific Restrictions on Concurrency in Model Checking of Java Programs
FMICS '09 Proceedings of the 14th International Workshop on Formal Methods for Industrial Critical Systems
Approximate Worst-Case Execution Time Analysis for Early Stage Embedded Systems Development
SEUS '09 Proceedings of the 7th IFIP WG 10.2 International Workshop on Software Technologies for Embedded and Ubiquitous Systems
Thermal analysis of multiprocessor SoC applications by simulation and verification
ACM Transactions on Design Automation of Electronic Systems (TODAES)
SMC'09 Proceedings of the 2009 IEEE international conference on Systems, Man and Cybernetics
Multicore-aware hybrid code positioning to reduce worst-case execution time
Proceedings of the 2010 Workshop on Interaction between Compilers and Computer Architecture
WYSINWYX: What you see is not what you eXecute
ACM Transactions on Programming Languages and Systems (TOPLAS)
Semi-automatic derivation of timing models for WCET analysis
Proceedings of the ACM SIGPLAN/SIGBED 2010 conference on Languages, compilers, and tools for embedded systems
Safe compositional network sketches: formal framework
Proceedings of the 13th ACM international conference on Hybrid systems: computation and control
Delivering real-time behaviour
Domain modeling and the duration calculus
Tree scheduling versus sequential scheduling
Proceedings of the 1st Workshop on Critical Automotive applications: Robustness & Safety
Worst-case execution time analysis for a Java processor
Software—Practice & Experience
A disruptive computer design idea: architectures with repeatable timing
ICCD'09 Proceedings of the 2009 IEEE international conference on Computer design
Time-based intrusion detection in cyber-physical systems
Proceedings of the 1st ACM/IEEE International Conference on Cyber-Physical Systems
A capacity sharing and stealing strategy for open real-time systems
Journal of Systems Architecture: the EUROMICRO Journal
New developments in WCET analysis
Program analysis and compilation, theory and practice
Execution-time control for interrupt handling
ACM SIGAda Ada Letters
The reachability-bound problem
PLDI '10 Proceedings of the 2010 ACM SIGPLAN conference on Programming language design and implementation
Performance evaluation of component-based software systems: A survey
Performance Evaluation
Generation and calibration of compositional performance analysis models for multi-processor systems
SAMOS'09 Proceedings of the 9th international conference on Systems, architectures, modeling and simulation
Early schedulability analysis with timed use case maps
SDL'09 Proceedings of the 14th international SDL conference on Design for motes and mobiles
Measuring and policing blocking times in real-time systems
ACM Transactions on Embedded Computing Systems (TECS)
A real-time Java chip-multiprocessor
ACM Transactions on Embedded Computing Systems (TECS)
Iterative probabilistic performance prediction for multi-application multiprocessor systems
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
Proceedings of the 47th Design Automation Conference
Granularity-Aware Work-Stealing for Computationally-Uniform Grids
CCGRID '10 Proceedings of the 2010 10th IEEE/ACM International Conference on Cluster, Cloud and Grid Computing
Instruction Cache Prediction Using Bayesian Networks
Proceedings of the 2010 conference on ECAI 2010: 19th European Conference on Artificial Intelligence
Bounding the shared resource load for the performance analysis of multiprocessor systems
Proceedings of the Conference on Design, Automation and Test in Europe
RMOT: recursion in model order for task execution time estimation in a software pipeline
Proceedings of the Conference on Design, Automation and Test in Europe
DAGS: distribution agnostic sequential Monte Carlo scheme for task execution time estimation
Proceedings of the Conference on Design, Automation and Test in Europe
Journal of Systems Architecture: the EUROMICRO Journal
WCRT algebra and interfaces for Esterel-style synchronous processing
Proceedings of the Conference on Design, Automation and Test in Europe
Hardware/software optimization of error detection implementation for real-time embedded systems
CODES/ISSS '10 Proceedings of the eighth IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis
A marketplace for cloud resources
EMSOFT '10 Proceedings of the tenth ACM international conference on Embedded software
PinaVM: a systemC front-end based on an executable intermediate representation
EMSOFT '10 Proceedings of the tenth ACM international conference on Embedded software
Real-time performance analysis of multiprocessor systems with shared memory
ACM Transactions on Embedded Computing Systems (TECS)
Multi-dimensional rankings, program termination, and complexity bounds of flowchart programs
SAS'10 Proceedings of the 17th international conference on Static analysis
N-version programming in WCET analysis: revisiting a discredited idea
Proceedings of the FSE/SDP workshop on Future of software engineering research
Improvements to a resource analysis for hume
FOPARA'09 Proceedings of the First international conference on Foundational and practical aspects of resource analysis
Characterising effective resource analyses for parallel and distributed coordination
FOPARA'09 Proceedings of the First international conference on Foundational and practical aspects of resource analysis
100% coverage for safety-critical software - efficient testing by static analysis
SAFECOMP'10 Proceedings of the 29th international conference on Computer safety, reliability, and security
Multivariate amortized resource analysis
Proceedings of the 38th annual ACM SIGPLAN-SIGACT symposium on Principles of programming languages
SEUS'10 Proceedings of the 8th IFIP WG 10.2 international conference on Software technologies for embedded and ubiquitous systems
OTAWA: an open toolbox for adaptive WCET analysis
SEUS'10 Proceedings of the 8th IFIP WG 10.2 international conference on Software technologies for embedded and ubiquitous systems
ISoLA'10 Proceedings of the 4th international conference on Leveraging applications of formal methods, verification, and validation - Volume Part I
Source-level support for timing analysis
ISoLA'10 Proceedings of the 4th international conference on Leveraging applications of formal methods, verification, and validation - Volume Part II
Relative roles of instruction count and cycles per instruction in WCET estimation
Proceedings of the 2nd ACM/SPEC International Conference on Performance engineering
WCET analysis of modern processors using multi-criteria optimisation
Empirical Software Engineering
A dynamic instruction scratchpad memory for embedded processors managed by hardware
ARCS'11 Proceedings of the 24th international conference on Architecture of computing systems
Comparison of nearest point algorithms by genetic algorithms
Expert Systems with Applications: An International Journal
A survey of hard real-time scheduling for multiprocessor systems
ACM Computing Surveys (CSUR)
Stack distance based worst-case instruction cache performance analysis
Proceedings of the 2011 ACM Symposium on Applied Computing
opaal: a lattice model checker
NFM'11 Proceedings of the Third international conference on NASA Formal methods
Game time: a toolkit for timing analysis of software
TACAS'11/ETAPS'11 Proceedings of the 17th international conference on Tools and algorithms for the construction and analysis of systems: part of the joint European conferences on theory and practice of software
Dynamic application model for scheduling with uncertainty on reconfigurable architectures
International Journal of Reconfigurable Computing - Special issue on selected papers from the international workshop on reconfigurable communication-centric systems on chips (ReCoSoC' 2010)
An efficient algorithm for parametric WCET calculation
Journal of Systems Architecture: the EUROMICRO Journal
Branch target buffers: WCET analysis framework and timing predictability
Journal of Systems Architecture: the EUROMICRO Journal
Failure-dependent execution time analysis
Proceedings of the joint ACM SIGSOFT conference -- QoSA and ACM SIGSOFT symposium -- ISARCS on Quality of software architectures -- QoSA and architecting critical systems -- ISARCS
Scheduling real-time garbage collection on uniprocessors
ACM Transactions on Computer Systems (TOCS)
Beyond loop bounds: comparing annotation languages for worst-case execution time analysis
Software and Systems Modeling (SoSyM)
WCET analysis of instruction cache hierarchies
Journal of Systems Architecture: the EUROMICRO Journal
Journal of Systems Architecture: the EUROMICRO Journal
Differential privacy under fire
SEC'11 Proceedings of the 20th USENIX conference on Security
Making DRAM refresh predictable
Real-Time Systems
Symbolic worst case execution times
ICTAC'11 Proceedings of the 8th international conference on Theoretical aspects of computing
Cache analysis in presence of pointer-based data structures
ACM SIGBED Review - Work-in-Progress (WiP) Session of the 23rd Euromicro Conference on Real-Time Systems (ECRTS 2011)
A new way about using statistical analysis of worst-case execution times
ACM SIGBED Review - Work-in-Progress (WiP) Session of the 23rd Euromicro Conference on Real-Time Systems (ECRTS 2011)
EMSOFT '11 Proceedings of the ninth ACM international conference on Embedded software
Cross-layer analysis, testing and verification of automotive control software
EMSOFT '11 Proceedings of the ninth ACM international conference on Embedded software
Performance-aware scheduler synthesis for control systems
EMSOFT '11 Proceedings of the ninth ACM international conference on Embedded software
Symbolic simulation on complicated loops for WCET path analysis
EMSOFT '11 Proceedings of the ninth ACM international conference on Embedded software
A synergetic approach to accurate analysis of cache-related preemption delay
EMSOFT '11 Proceedings of the ninth ACM international conference on Embedded software
Tradeoff exploration between reliability, power consumption, and execution time
SAFECOMP'11 Proceedings of the 30th international conference on Computer safety, reliability, and security
McAiT: a timing analyzer for multicore real-time software
ATVA'11 Proceedings of the 9th international conference on Automated technology for verification and analysis
Cost analysis of object-oriented bytecode programs
Theoretical Computer Science
ACM Transactions on Architecture and Code Optimization (TACO) - HIPEAC Papers
ejIP: a TCP/IP stack for embedded Java
Proceedings of the 9th International Conference on Principles and Practice of Programming in Java
Static timing analysis for hard real-time systems
VMCAI'10 Proceedings of the 11th international conference on Verification, Model Checking, and Abstract Interpretation
Proceedings of the International Conference on Computer-Aided Design
ACM Transactions on Embedded Computing Systems (TECS)
Timing analysis of interrupt-driven programs under context bounds
Proceedings of the International Conference on Formal Methods in Computer-Aided Design
Cache-Aware development of high-integrity systems
Ada-Europe'10 Proceedings of the 15th Ada-Europe international conference on Reliable Software Technologies
Deterministic execution model on COTS hardware
ARCS'12 Proceedings of the 25th international conference on Architecture of Computing Systems
Quantitative analysis of software: challenges and recent advances (Invited Lecture)
FACS'10 Proceedings of the 7th international conference on Formal Aspects of Component Software
Sciduction: combining induction, deduction, and structure for verification and synthesis
Proceedings of the 49th Annual Design Automation Conference
Worst-case execution time analysis for parallel run-time monitoring
Proceedings of the 49th Annual Design Automation Conference
Worst-case execution time analysis-driven object cache design
Concurrency and Computation: Practice & Experience
MMB'12/DFT'12 Proceedings of the 16th international GI/ITG conference on Measurement, Modelling, and Evaluation of Computing Systems and Dependability and Fault Tolerance
Proceedings of the 33rd ACM SIGPLAN conference on Programming Language Design and Implementation
Quantitative Analysis of Systems Using Game-Theoretic Learning
ACM Transactions on Embedded Computing Systems (TECS) - Special Section on CAPA'09, Special Section on WHS'09, and Special Section VCPSS' 09
A Model Checking Based Approach to Bounding Worst-Case Execution Time for Multicore Processors
ACM Transactions on Embedded Computing Systems (TECS) - Special Section on CAPA'09, Special Section on WHS'09, and Special Section VCPSS' 09
mTags: augmenting microkernel messages with lightweight metadata
ACM SIGOPS Operating Systems Review
Dynamic cost verification for cloud applications
Proceedings of the 2012 Workshop on Dynamic Analysis
Runtime monitoring of time-sensitive systems
RV'11 Proceedings of the Second international conference on Runtime verification
Calibration of deployment simulation models: a multi-paradigm modelling approach
Proceedings of the 2012 Symposium on Theory of Modeling and Simulation - DEVS Integrative M&S Symposium
Multivariate amortized resource analysis
ACM Transactions on Programming Languages and Systems (TOPLAS)
On abstractions for timing analysis in the K framework
FOPARA'11 Proceedings of the Second international conference on Foundational and Practical Aspects of Resource Analysis
Improving the performance of execution time control by using a hardware time management unit
Ada-Europe'12 Proceedings of the 17th Ada-Europe international conference on Reliable Software Technologies
Power-efficient time-sensitive mapping in heterogeneous systems
Proceedings of the 21st international conference on Parallel architectures and compilation techniques
Trigger memoization in self-triggered control
Proceedings of the tenth ACM international conference on Embedded software
Estimation of probabilistic bounds on phase CPI and relevance in WCET analysis
Proceedings of the tenth ACM international conference on Embedded software
Time-Constraint-Aware Optimization of Assertions in Embedded Software
Journal of Electronic Testing: Theory and Applications
Ji.Fi: visual test and debug queries for hard real-time
Proceedings of the 10th International Workshop on Java Technologies for Real-time and Embedded Systems
PRETI: partitioned real-time shared cache for mixed-criticality real-time systems
Proceedings of the 20th International Conference on Real-Time and Network Systems
PDPA: period driven task and cache partitioning algorithm for multi-core systems
Proceedings of the 20th International Conference on Real-Time and Network Systems
Linear transformation of conditional real-time tasks
Proceedings of the 20th International Conference on Real-Time and Network Systems
Analytical synthesis of bandwidth-efficient SDRAM address generators
Microprocessors & Microsystems
Analytical architecture-based performability evaluation of real-time software systems
Journal of Systems and Software
Meeting real-time requirements with multi-core processors
SAFECOMP'12 Proceedings of the 2012 international conference on Computer Safety, Reliability, and Security
Compiling for time predictability
SAFECOMP'12 Proceedings of the 2012 international conference on Computer Safety, Reliability, and Security
The WCET analysis tool calcwcet167
ISoLA'12 Proceedings of the 5th international conference on Leveraging Applications of Formal Methods, Verification and Validation: applications and case studies - Volume Part II
Data cache organization for accurate timing analysis
Real-Time Systems
Quantitative abstraction refinement
POPL '13 Proceedings of the 40th annual ACM SIGPLAN-SIGACT symposium on Principles of programming languages
POPL '13 Proceedings of the 40th annual ACM SIGPLAN-SIGACT symposium on Principles of programming languages
Sensitivity of cache replacement policies
ACM Transactions on Embedded Computing Systems (TECS) - Special section on ESTIMedia'12, LCTES'11, rigorous embedded systems design, and multiprocessor system-on-chip for cyber-physical systems
Predictability for timing and temperature in multiprocessor system-on-chip platforms
ACM Transactions on Embedded Computing Systems (TECS) - Special section on ESTIMedia'12, LCTES'11, rigorous embedded systems design, and multiprocessor system-on-chip for cyber-physical systems
From relational verification to SIMD loop synthesis
Proceedings of the 18th ACM SIGPLAN symposium on Principles and practice of parallel programming
Ten years of amortized resource analysis
FOSSACS'13 Proceedings of the 16th international conference on Foundations of Software Science and Computation Structures
ACM SIGBED Review - Special Issue on the 24th Euromicro Conference on Real-Time Systems
Proceedings of the 2nd ACM international conference on High confidence networked systems
Model level worst-case execution time analysis for IEC 61499
Proceedings of the 16th International ACM Sigsoft symposium on Component-based software engineering
Compiler directed write-mode selection for high performance low power volatile PCM
Proceedings of the 14th ACM SIGPLAN/SIGBED conference on Languages, compilers and tools for embedded systems
PROARTIS: Probabilistically Analyzable Real-Time Systems
ACM Transactions on Embedded Computing Systems (TECS) - Special Section on Probabilistic Embedded Computing
End-to-end latency computation in a multi-periodic design
Proceedings of the 28th Annual ACM Symposium on Applied Computing
Extracting useful computation from error-prone processors for streaming applications
Proceedings of the Conference on Design, Automation and Test in Europe
FIFO cache analysis for WCET estimation: a quantitative approach
Proceedings of the Conference on Design, Automation and Test in Europe
A cache design for probabilistically analysable real-time systems
Proceedings of the Conference on Design, Automation and Test in Europe
Efficient cache architectures for reliable hybrid voltage operation using EDC codes
Proceedings of the Conference on Design, Automation and Test in Europe
Modeling communication software execution for accurate simulation of distributed systems
Proceedings of the 2013 ACM SIGSIM conference on Principles of advanced discrete simulation
The autonomic operating system research project: achievements and future directions
Proceedings of the 50th Annual Design Automation Conference
APPLE: adaptive performance-predictable low-energy caches for reliable hybrid voltage operation
Proceedings of the 50th Annual Design Automation Conference
Integrated instruction cache analysis and locking in multitasking real-time systems
Proceedings of the 50th Annual Design Automation Conference
Precise timing analysis for direct-mapped caches
Proceedings of the 50th Annual Design Automation Conference
A hybrid approach for resource-based comparison of adaptable Java applications
Science of Computer Programming
LP-NUCA: networks-in-cache for high-performance low-power embedded processors
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Process-variation-aware mapping of best-effort and real-time streaming applications to MPSoCs
ACM Transactions on Embedded Computing Systems (TECS) - Special Section ESFH'12, ESTIMedia'11 and Regular Papers
Charting the evolution of the Ada Ravenscar code archetypes
ACM SIGAda Ada Letters
Time analysable synchronisation techniques for parallelised hard real-time applications
DATE '12 Proceedings of the Conference on Design, Automation and Test in Europe
Timing modeling with AUTOSAR: current state and future directions
DATE '12 Proceedings of the Conference on Design, Automation and Test in Europe
Challenges and new trends in probabilistic timing analysis
DATE '12 Proceedings of the Conference on Design, Automation and Test in Europe
Computation takes time, but how much?
Communications of the ACM
Code optimizations using formally verified properties
Proceedings of the 2013 ACM SIGPLAN international conference on Object oriented programming systems languages & applications
Quality of service capabilities for hard real-time applications on multi-core processors
Proceedings of the 21st International conference on Real-Time Networks and Systems
Proceedings of the 21st International conference on Real-Time Networks and Systems
Timing analysis enhancement for synchronous program
Proceedings of the 21st International conference on Real-Time Networks and Systems
Proceedings of the 21st International conference on Real-Time Networks and Systems
Explicit reservation of cache memory in a predictable, preemptive multitasking real-time system
ACM Transactions on Embedded Computing Systems (TECS)
On real-time partitioned multicore systems
ACM SIGAda Ada Letters
Execution time timers for interrupt handling
ACM SIGAda Ada Letters
An extended ravenscar profile for execution time control
ACM SIGAda Ada Letters
ILPc: a novel approach for scalable timing analysis of synchronous programs
Proceedings of the 2013 International Conference on Compilers, Architectures and Synthesis for Embedded Systems
Simple analysis of partial worst-case execution paths on general control flow graphs
Proceedings of the Eleventh ACM International Conference on Embedded Software
Programming and Computing Software
Problems of instrumental support for the development of distributed embedded real-time systems
Programming and Computing Software
Building timing predictable embedded systems
ACM Transactions on Embedded Computing Systems (TECS)
Comprehensive formal verification of an OS microkernel
ACM Transactions on Computer Systems (TOCS)
Operational semantics of a domain specific language for real time musician---computer interaction
Discrete Event Dynamic Systems
Epipe: A low-cost fault-tolerance technique considering WCET constraints
Journal of Systems Architecture: the EUROMICRO Journal
The COMPLEX methodology for UML/MARTE Modeling and design space exploration of embedded systems
Journal of Systems Architecture: the EUROMICRO Journal
Towards software performance engineering for multicore and manycore systems
ACM SIGMETRICS Performance Evaluation Review
Evaluating and estimating the WCET criticality metric
Proceedings of the 11th Workshop on Optimizations for DSP and Embedded Systems
Adaptive EDF: using predictive execution time
ACM SIGBED Review - Special Issue on the 5th Workshop on Adaptive and Reconfigurable Embedded Systems
WCET analysis with MRU cache: Challenging LRU for predictability
ACM Transactions on Embedded Computing Systems (TECS)
Address independent estimation of the boundaries of cache performance
Microprocessors & Microsystems
Static analysis of multi-core TDMA resource arbitration delays
Real-Time Systems
A review of fixed priority and EDF scheduling for hard real-time uniprocessor systems
ACM SIGBED Review - Special Issue on the 3rd Embedded Operating System Workshop (EWiLi 2013)
Hi-index | 0.02 |
The determination of upper bounds on execution times, commonly called worst-case execution times (WCETs), is a necessary step in the development and validation process for hard real-time systems. This problem is hard if the underlying processor architecture has components, such as caches, pipelines, branch prediction, and other speculative components. This article describes different approaches to this problem and surveys several commercially available tools1 and research prototypes.