Formal specification: a roadmap
Proceedings of the Conference on The Future of Software Engineering
Requirements engineering in the year 00: a research perspective
Proceedings of the 22nd international conference on Software engineering
Proceedings of the 37th Annual Design Automation Conference
Proceedings of the 37th Annual Design Automation Conference
An automata-theoretic approach to modular model checking
ACM Transactions on Programming Languages and Systems (TOPLAS)
Putting static analysis to work for verification: A case study
Proceedings of the 2000 ACM SIGSOFT international symposium on Software testing and analysis
Verifying safety properties of concurrent Java programs using 3-valued logic
POPL '01 Proceedings of the 28th ACM SIGPLAN-SIGACT symposium on Principles of programming languages
Symbolic Model Checking for Self-Stabilizing Algorithms
IEEE Transactions on Parallel and Distributed Systems
MSO definable string transductions and two-way finite-state transducers
ACM Transactions on Computational Logic (TOCL)
Security verification of programs with stack inspection
SACMAT '01 Proceedings of the sixth ACM symposium on Access control models and technologies
Efficient Detection of Vacuity in Temporal Model Checking
Formal Methods in System Design - Special issue on CAV '97
From model checking to a temporal proof
SPIN '01 Proceedings of the 8th international SPIN workshop on Model checking of software
Directed explicit model checking with HSF-SPIN
SPIN '01 Proceedings of the 8th international SPIN workshop on Model checking of software
Verification experiments on the MASCARA protocol
SPIN '01 Proceedings of the 8th international SPIN workshop on Model checking of software
Parallel state space construction for model-checking
SPIN '01 Proceedings of the 8th international SPIN workshop on Model checking of software
Survivability analysis of networked systems
ICSE '01 Proceedings of the 23rd International Conference on Software Engineering
Efficient filtering in publish-subscribe systems using binary decision diagrams
ICSE '01 Proceedings of the 23rd International Conference on Software Engineering
JMOCHA: a model checking tool that exploits design structure
ICSE '01 Proceedings of the 23rd International Conference on Software Engineering
Proceedings of the 2001 international symposium on Symbolic and algebraic computation
An efficient security verification method for programs with stack inspection
CCS '01 Proceedings of the 8th ACM conference on Computer and Communications Security
Modular verification of collaboration-based software designs
Proceedings of the 8th European software engineering conference held jointly with 9th ACM SIGSOFT international symposium on Foundations of software engineering
Automatic abstraction for model checking software systems with interrelated numeric constraints
Proceedings of the 8th European software engineering conference held jointly with 9th ACM SIGSOFT international symposium on Foundations of software engineering
Types as models: model checking message-passing programs
POPL '02 Proceedings of the 29th ACM SIGPLAN-SIGACT symposium on Principles of programming languages
POPL '02 Proceedings of the 29th ACM SIGPLAN-SIGACT symposium on Principles of programming languages
Datalog LITE: a deductive query language with linear time model checking
ACM Transactions on Computational Logic (TOCL)
Information systems as social structures
Proceedings of the international conference on Formal Ontology in Information Systems - Volume 2001
A calculus of superimpositions for distributed systems
AOSD '02 Proceedings of the 1st international conference on Aspect-oriented software development
Aspect-oriented programming with model checking
AOSD '02 Proceedings of the 1st international conference on Aspect-oriented software development
Using XML to implement abstraction for Model Checking
Proceedings of the 2002 ACM symposium on Applied computing
A system and language for building system-specific, static analyses
PLDI '02 Proceedings of the ACM SIGPLAN 2002 Conference on Programming language design and implementation
Achieving maximum performance: a method for the verification of interlocked pipeline control logic
Proceedings of the 39th annual Design Automation Conference
Formal verification of module interfaces against real time specifications
Proceedings of the 39th annual Design Automation Conference
Tractable multiagent planning for epistemic goals
Proceedings of the first international joint conference on Autonomous agents and multiagent systems: part 3
Modelling erroneous operator behaviours for an air-traffic control task
AUIC '02 Proceedings of the Third Australasian conference on User interfaces - Volume 7
Model checking Java programs using structural heuristics
ISSTA '02 Proceedings of the 2002 ACM SIGSOFT international symposium on Software testing and analysis
Generating finite state machines from abstract state machines
ISSTA '02 Proceedings of the 2002 ACM SIGSOFT international symposium on Software testing and analysis
Linear time datalog and branching time logic
Logic-based artificial intelligence
On the complexity of verifying concurrent transition systems
Information and Computation
Markovian models for performance and dependability evaluation
Lectures on formal methods and performance analysis
Distributed and structured analysis approaches to study large and complex systems
Lectures on formal methods and performance analysis
AnWeb: a system for automatic support to web application verification
SEKE '02 Proceedings of the 14th international conference on Software engineering and knowledge engineering
Formal verification in a component-based reuse methodology
Proceedings of the 15th international symposium on System Synthesis
PROPEL: an approach supporting property elucidation
Proceedings of the 24th International Conference on Software Engineering
Verification support for workflow design with UML activity graphs
Proceedings of the 24th International Conference on Software Engineering
Software model checking in practice: an industrial case study
Proceedings of the 24th International Conference on Software Engineering
Jeeg: a programming language for concurrent objects synchronization
JGI '02 Proceedings of the 2002 joint ACM-ISCOPE conference on Java Grande
Combining stochastic process algebras and queueing networks for software architecture analysis
WOSP '02 Proceedings of the 3rd international workshop on Software and performance
Verifying cross-cutting features as open systems
Proceedings of the 10th ACM SIGSOFT symposium on Foundations of software engineering
Model exploration with temporal logic query checking
Proceedings of the 10th ACM SIGSOFT symposium on Foundations of software engineering
Model Checking Interactor Specifications
Automated Software Engineering
Synthesis of Supervisors Using Learning Algorithm of RegularLanguages
Discrete Event Dynamic Systems
ML Systems: A Proof Theory for Contexts
Journal of Logic, Language and Information
Partition-based decision heuristics for image computation using SAT and BDDs
Proceedings of the 2001 IEEE/ACM international conference on Computer-aided design
Non-linear quantification scheduling in image computation
Proceedings of the 2001 IEEE/ACM international conference on Computer-aided design
Verifying cross-cutting features as open systems
ACM SIGSOFT Software Engineering Notes
Model exploration with temporal logic query checking
ACM SIGSOFT Software Engineering Notes
Architecting families of software systems with process algebras
ACM Transactions on Software Engineering and Methodology (TOSEM)
A logical characterization of data languages
Information Processing Letters
Verification of timed automata based on similarity
Fundamenta Informaticae
Bounded model checking for the universal fragment of CTL
Fundamenta Informaticae
Optimizing Multiple EDA Tools within the ASIC Design Flow
IEEE Design & Test
Towards requirements-driven information systems engineering: the Tropos project
Information Systems - The 13th international conference on advanced information systems engineering (CAiSE*01)
ACM Transactions on Computational Logic (TOCL)
On-the-fly model checking from interval logic specifications
ACM SIGPLAN Notices
Performance measure sensitive congruences for Markovian process algebras
Theoretical Computer Science
Process algebras for systems diagnosis
Artificial Intelligence
Specification languages in algebraic compilers
Theoretical Computer Science - Special issue: Algebraic methodology and software technology
From Play-In Scenarios to Code: An Achievable Dream
FASE '00 Proceedings of the Third Internationsl Conference on Fundamental Approaches to Software Engineering: Held as Part of the European Joint Conferences on the Theory and Practice of Software, ETAPS 2000
FASE '02 Proceedings of the 5th International Conference on Fundamental Approaches to Software Engineering
On the Completeness of Model Checking
ESOP '01 Proceedings of the 10th European Symposium on Programming Languages and Systems
Reasoning about Evolving Nonmonotonic Knowledge Bases
LPAR '01 Proceedings of the Artificial Intelligence on Logic for Programming
LPAR '01 Proceedings of the Artificial Intelligence on Logic for Programming
LPAR '02 Proceedings of the 9th International Conference on Logic for Programming, Artificial Intelligence, and Reasoning
Directed Automated Theorem Proving
LPAR '02 Proceedings of the 9th International Conference on Logic for Programming, Artificial Intelligence, and Reasoning
Combining Forward and Backward Analyses of Temporal Properties
PADO '01 Proceedings of the Second Symposium on Programs as Data Objects
Model Checking and Abstraction
Proceedings of the 5th International Symposium on Abstraction, Reformulation and Approximation
Abstraction in Software Model Checking: Principles and Practice (Tutorial Overview and Bibliography)
Proceedings of the 9th International SPIN Workshop on Model Checking of Software
Partial Order Reduction in Directed Model Checking
Proceedings of the 9th International SPIN Workshop on Model Checking of Software
Comparing Symbolic and Explicit Model Checking of a Software System
Proceedings of the 9th International SPIN Workshop on Model Checking of Software
Local Parallel Model Checking for the Alternation-Free µ-Calculus
Proceedings of the 9th International SPIN Workshop on Model Checking of Software
On Solving Temporal Logic Queries
AMAST '02 Proceedings of the 9th International Conference on Algebraic Methodology and Software Technology
Invited Talk: Weaving Formal Methods into the Undergraduate Computer Science Curriculum
AMAST '00 Proceedings of the 8th International Conference on Algebraic Methodology and Software Technology
A Formal and Executable Specification of the Internet Open Trading Protocol
EC-WEB '02 Proceedings of the Third International Conference on E-Commerce and Web Technologies
From States to Transitions: Improving Translation of LTL Formulae to Büchi Automata
FORTE '02 Proceedings of the 22nd IFIP WG 6.1 International Conference Houston on Formal Techniques for Networked and Distributed Systems
SAT-Based Verification without State Space Traversal
FMCAD '00 Proceedings of the Third International Conference on Formal Methods in Computer-Aided Design
A Methodology for Large-Scale Hardware Verification
FMCAD '00 Proceedings of the Third International Conference on Formal Methods in Computer-Aided Design
Executable Protocol Specification in ESL
FMCAD '00 Proceedings of the Third International Conference on Formal Methods in Computer-Aided Design
An Algorithm for Strongly Connected Component Analysis in n log n Symbolic Steps
FMCAD '00 Proceedings of the Third International Conference on Formal Methods in Computer-Aided Design
Checking Safety Properties Using Induction and a SAT-Solver
FMCAD '00 Proceedings of the Third International Conference on Formal Methods in Computer-Aided Design
Generalized Symbolic Trajectory Evaluation - Abstraction in Action
FMCAD '02 Proceedings of the 4th International Conference on Formal Methods in Computer-Aided Design
Using Edge-Valued Decision Diagrams for Symbolic Generation of Shortest Paths
FMCAD '02 Proceedings of the 4th International Conference on Formal Methods in Computer-Aided Design
Analysis of Symbolic SCC Hull Algorithms
FMCAD '02 Proceedings of the 4th International Conference on Formal Methods in Computer-Aided Design
Hypergraphs in Model Checking: Acyclicity and Hypertree-Width versus Clique-Width
ICALP '01 Proceedings of the 28th International Colloquium on Automata, Languages and Programming,
Slicing Hierarchical Automata for Model Checking UML Statecharts
ICFEM '02 Proceedings of the 4th International Conference on Formal Engineering Methods: Formal Methods and Software Engineering
A Formal Methodology to Specify E-commerce Systems
ICFEM '02 Proceedings of the 4th International Conference on Formal Engineering Methods: Formal Methods and Software Engineering
Bounded LTL Model Checking with Stable Models
LPNMR '01 Proceedings of the 6th International Conference on Logic Programming and Nonmonotonic Reasoning
I-Search: A System for Intelligent Information Search on the Web
ISMIS '02 Proceedings of the 13th International Symposium on Foundations of Intelligent Systems
Fighting Livelock in the i-Protocol: A Comparative Study of Verification Tools
TACAS '99 Proceedings of the 5th International Conference on Tools and Algorithms for Construction and Analysis of Systems
Model Checking: A Tutorial Overview
MOVEP '00 Proceedings of the 4th Summer School on Modeling and Verification of Parallel Processes
STACS '03 Proceedings of the 20th Annual Symposium on Theoretical Aspects of Computer Science
Implementing a Multi-valued Symbolic Model Checker
TACAS 2001 Proceedings of the 7th International Conference on Tools and Algorithms for the Construction and Analysis of Systems
Saturation: An Efficient Iteration Strategy for Symbolic State-Space Generation
TACAS 2001 Proceedings of the 7th International Conference on Tools and Algorithms for the Construction and Analysis of Systems
Coverage Metrics for Temporal Logic Model Checking
TACAS 2001 Proceedings of the 7th International Conference on Tools and Algorithms for the Construction and Analysis of Systems
Branching vs. Linear Time: Final Showdown
TACAS 2001 Proceedings of the 7th International Conference on Tools and Algorithms for the Construction and Analysis of Systems
Fate and Free Will in Error Traces
TACAS '02 Proceedings of the 8th International Conference on Tools and Algorithms for the Construction and Analysis of Systems
Parallelisation of the Petri Net Unfolding Algorithm
TACAS '02 Proceedings of the 8th International Conference on Tools and Algorithms for the Construction and Analysis of Systems
Applicability of Fair Simulation
TACAS '02 Proceedings of the 8th International Conference on Tools and Algorithms for the Construction and Analysis of Systems
Exploring Very Large State Spaces Using Genetic Algorithms
TACAS '02 Proceedings of the 8th International Conference on Tools and Algorithms for the Construction and Analysis of Systems
Reachability Programming in HOL98 Using BDDs
TPHOLs '00 Proceedings of the 13th International Conference on Theorem Proving in Higher Order Logics
Proving Hybrid Protocols Correct
TPHOLs '01 Proceedings of the 14th International Conference on Theorem Proving in Higher Order Logics
Representing Hierarchical Automata in Interactive Theorem Provers
TPHOLs '01 Proceedings of the 14th International Conference on Theorem Proving in Higher Order Logics
A Parametric Analysis of the State Explosion Problem in Model Checking
STACS '02 Proceedings of the 19th Annual Symposium on Theoretical Aspects of Computer Science
Proofs Methods for Bisimulation Based Information Flow Security
VMCAI '02 Revised Papers from the Third International Workshop on Verification, Model Checking, and Abstract Interpretation
Efficient Computation of Recurrence Diameters
VMCAI 2003 Proceedings of the 4th International Conference on Verification, Model Checking, and Abstract Interpretation
Integrating Temporal Assertions into a Parallel Debugger
Euro-Par '02 Proceedings of the 8th International Euro-Par Conference on Parallel Processing
Combining Theorem Proving and Model Checking through Symbolic Analysis
CONCUR '00 Proceedings of the 11th International Conference on Concurrency Theory
A Unifying Approach to Data-Independence
CONCUR '00 Proceedings of the 11th International Conference on Concurrency Theory
Efficient Multiple-Valued Model-Checking Using Lattice Representations
CONCUR '01 Proceedings of the 12th International Conference on Concurrency Theory
An Algebraic Characterization of Data and Timed Languages
CONCUR '01 Proceedings of the 12th International Conference on Concurrency Theory
Extended Temporal Logic Revisited
CONCUR '01 Proceedings of the 12th International Conference on Concurrency Theory
Simulation for Continuous-Time Markov Chains
CONCUR '02 Proceedings of the 13th International Conference on Concurrency Theory
CHARME '99 Proceedings of the 10th IFIP WG 10.5 Advanced Research Working Conference on Correct Hardware Design and Verification Methods
Reducing Model Checking from Multi-valued {\rm CTL}^{\ast} to {\rm CTL}^{\ast}
CONCUR '02 Proceedings of the 13th International Conference on Concurrency Theory
Coverability Analysis Using Symbolic Model Checking
CHARME '01 Proceedings of the 11th IFIP WG 10.5 Advanced Research Working Conference on Correct Hardware Design and Verification Methods
Applications of Hierarchical Verification in Model Checking
CHARME '01 Proceedings of the 11th IFIP WG 10.5 Advanced Research Working Conference on Correct Hardware Design and Verification Methods
Interface Theories for Component-Based Design
EMSOFT '01 Proceedings of the First International Workshop on Embedded Software
Model Checking CTL+ and FCTL is Hard
FoSSaCS '01 Proceedings of the 4th International Conference on Foundations of Software Science and Computation Structures
On Model Checking Durational Kripke Structures
FoSSaCS '02 Proceedings of the 5th International Conference on Foundations of Software Science and Computation Structures
A Transformation of SDL Specifications - A Step towards the Verification
PSI '02 Revised Papers from the 4th International Andrei Ershov Memorial Conference on Perspectives of System Informatics: Akademgorodok, Novosibirsk, Russia
On Expressive and Model Checking Power of Propositional Program Logics
PSI '02 Revised Papers from the 4th International Andrei Ershov Memorial Conference on Perspectives of System Informatics: Akademgorodok, Novosibirsk, Russia
Verification of Embedded Software: Problems and Perspectives
EMSOFT '01 Proceedings of the First International Workshop on Embedded Software
Heuristics for Efficient Manipulation of Composite Constraints
FroCoS '02 Proceedings of the 4th International Workshop on Frontiers of Combining Systems
Software Hazard and Safety Analysis
FTRTFT '02 Proceedings of the 7th International Symposium on Formal Techniques in Real-Time and Fault-Tolerant Systems: Co-sponsored by IFIP WG 2.2
Towards Bounded Model Checking for the Universal Fragment of TCTL
FTRTFT '02 Proceedings of the 7th International Symposium on Formal Techniques in Real-Time and Fault-Tolerant Systems: Co-sponsored by IFIP WG 2.2
Model Checking Knowledge and Time in Systems with Perfect Recall (Extended Abstract)
Proceedings of the 19th Conference on Foundations of Software Technology and Theoretical Computer Science
A Semantic Theory for Heterogeneous System Design
FST TCS 2000 Proceedings of the 20th Conference on Foundations of Software Technology and Theoretical Computer Science
Model Checking Algol-Like Languages Using Game Semantics
FST TCS '02 Proceedings of the 22nd Conference Kanpur on Foundations of Software Technology and Theoretical Computer Science
Abstract Conjunctive Partial Deduction Using Regular Types and Its Application to Model Checking
LOPSTR '01 Selected papers from the 11th International Workshop on Logic Based Program Synthesis and Transformation
omega-Regular Languages Are Testable with a Constant Number of Queries
RANDOM '02 Proceedings of the 6th International Workshop on Randomization and Approximation Techniques
Formal Verification Methods for Industrial Hardware Design
SOFSEM '01 Proceedings of the 28th Conference on Current Trends in Theory and Practice of Informatics Piestany: Theory and Practice of Informatics
Equivalence-Checking with Infinite-State Systems: Techniques and Results
SOFSEM '02 Proceedings of the 29th Conference on Current Trends in Theory and Practice of Informatics: Theory and Practice of Informatics
On the Verification of Coordination
COORDINATION '00 Proceedings of the 4th International Conference on Coordination Languages and Models
Using Cohort-Scheduling to Enhance Server Performance
ATEC '02 Proceedings of the General Track of the annual conference on USENIX Annual Technical Conference
Semantics for Abstract Interpretation-Based Static Analyzes of Temporal Properties
SAS '02 Proceedings of the 9th International Symposium on Static Analysis
Making Abstract Model Checking Strongly Preserving
SAS '02 Proceedings of the 9th International Symposium on Static Analysis
Communication and Parallelism Introduction and Elimination in Imperative Concurrent Programs
SAS '01 Proceedings of the 8th International Symposium on Static Analysis
States vs. Traces in Model Checking by Abstract Interpretation
SAS '02 Proceedings of the 9th International Symposium on Static Analysis
Checking Safety Properties of Behavioral VHDL Descriptions by Abstract Interpretation
SAS '02 Proceedings of the 9th International Symposium on Static Analysis
Verifying Large SDL-Specifications Using Model Checking
SDL '01 Proceedings of the 10th International SDL Forum Copenhagen on Meeting UML
UML for Agent-Oriented Software Development: The Tropos Proposal
«UML» '01 Proceedings of the 4th International Conference on The Unified Modeling Language, Modeling Languages, Concepts, and Tools
Timing Analysis of UML Activity Diagrams
«UML» '01 Proceedings of the 4th International Conference on The Unified Modeling Language, Modeling Languages, Concepts, and Tools
Progress on the State Explosion Problem in Model Checking
Informatics - 10 Years Back. 10 Years Ahead.
Automated Performance and Dependability Evaluation Using Model Checking
Performance Evaluation of Complex Systems: Techniques and Tools, Performance 2002, Tutorial Lectures
Stochastic Process Algebra: From an Algebraic Formalism to an Architectural Description Language
Performance Evaluation of Complex Systems: Techniques and Tools, Performance 2002, Tutorial Lectures
Constraint-Based Verification of Client-Server Protocols
CP '01 Proceedings of the 7th International Conference on Principles and Practice of Constraint Programming
Model, Notation, and Tools for Verification of Protocol-Based Components Assembly
CD '02 Proceedings of the IFIP/ACM Working Conference on Component Deployment
A Combined Testing and Verification Approach for Software Reliability
FME '01 Proceedings of the International Symposium of Formal Methods Europe on Formal Methods for Increasing Software Productivity
How to Make FDR Spin LTL Model Checking of CSP by Refinement
FME '01 Proceedings of the International Symposium of Formal Methods Europe on Formal Methods for Increasing Software Productivity
Proofs of Correctness of Cache-Coherence Protocols
FME '01 Proceedings of the International Symposium of Formal Methods Europe on Formal Methods for Increasing Software Productivity
Improvements in Coverability Analysis
FME '02 Proceedings of the International Symposium of Formal Methods Europe on Formal Methods - Getting IT Right
FME '02 Proceedings of the International Symposium of Formal Methods Europe on Formal Methods - Getting IT Right
Mechanical Abstraction of CSPZ Processes
FME '02 Proceedings of the International Symposium of Formal Methods Europe on Formal Methods - Getting IT Right
Verifying Erlang Code: A Resource Locker Case-Study
FME '02 Proceedings of the International Symposium of Formal Methods Europe on Formal Methods - Getting IT Right
Property Dependent Abstraction of Control Structure for Software Verification
FME '02 Proceedings of the International Symposium of Formal Methods Europe on Formal Methods - Getting IT Right
Distributed Symbolic Model Checking for µ-Calculus
CAV '01 Proceedings of the 13th International Conference on Computer Aided Verification
A Practical Approach to Coverage in Model Checking
CAV '01 Proceedings of the 13th International Conference on Computer Aided Verification
Finding Bugs in an Alpha Microprocessor Using Satisfiability Solvers
CAV '01 Proceedings of the 13th International Conference on Computer Aided Verification
Model Checking Linear Properties of Prefix-Recognizable Systems
CAV '02 Proceedings of the 14th International Conference on Computer Aided Verification
CAV '02 Proceedings of the 14th International Conference on Computer Aided Verification
Canonical Prefixes of Petri Net Unfoldings
CAV '02 Proceedings of the 14th International Conference on Computer Aided Verification
CAV '02 Proceedings of the 14th International Conference on Computer Aided Verification
Combining Symmetry Reduction and Under-Approximation for Symbolic Model Checking
CAV '02 Proceedings of the 14th International Conference on Computer Aided Verification
CAV '02 Proceedings of the 14th International Conference on Computer Aided Verification
Symbolic Analysis of Transition Systems
ASM '00 Proceedings of the International Workshop on Abstract State Machines, Theory and Applications
Searching for Mutual Exclusion Algorithms Using BDDs
Progress in Discovery Science, Final Report of the Japanese Discovery Science Project
A Short Introduction to Infinite Automata
DLT '01 Revised Papers from the 5th International Conference on Developments in Language Theory
Automated Verification = Graphs, Automata, and Logic
Proceedings of the 14th Annual Conference of the EACSL on Computer Science Logic
CSL '02 Proceedings of the 16th International Workshop and 11th Annual Conference of the EACSL on Computer Science Logic
DS '00 Proceedings of the Third International Conference on Discovery Science
Specification and Analysis of Automata-Based Designs
IFM '00 Proceedings of the Second International Conference on Integrated Formal Methods
Towards Model Checking Stochastic Process Algebra
IFM '00 Proceedings of the Second International Conference on Integrated Formal Methods
Stochastic Process Algebras Meet Eden
IFM '02 Proceedings of the Third International Conference on Integrated Formal Methods
An OCL Extension for Real-Time Constraints
Object Modeling with the OCL, The Rationale behind the Object Constraint Language
Modeling Cellular Behavior with Hybrid Automata: Bisimulation and Collapsing
CMSB '03 Proceedings of the First International Workshop on Computational Methods in Systems Biology
Symbolic Model Checking of Biochemical Networks
CMSB '03 Proceedings of the First International Workshop on Computational Methods in Systems Biology
Language Containment Checking with Nondeterministic BDDs
TACAS 2001 Proceedings of the 7th International Conference on Tools and Algorithms for the Construction and Analysis of Systems
Adaptation of Coloured Petri Nets Models of Software Artifacts for Reuse
ICSR-7 Proceedings of the 7th International Conference on Software Reuse: Methods, Techniques, and Tools
A Theory of Consistency for Modular Synchronous Systems
FMCAD '00 Proceedings of the Third International Conference on Formal Methods in Computer-Aided Design
Assertion-Based Analysis of Hybrid Systems with PVS
Computer Aided Systems Theory - EUROCAST 2001-Revised Papers
A Framework for Translating Models and Specifications
IFM '02 Proceedings of the Third International Conference on Integrated Formal Methods
Language emptiness checking using MDGs
Proceedings of the 13th ACM Great Lakes symposium on VLSI
Model checking: a tutorial overview
Modeling and verification of parallel processes
Automated verification using deduction, exploration, and abstraction
Programming methodology
A Kleene/Büchi-like theorem for clock languages
Journal of Automata, Languages and Combinatorics - Selected papers of the workshop on logic and algebra for concurrency
Journal of Automata, Languages and Combinatorics - Selected papers of the workshop on logic and algebra for concurrency
A graphical µ-calculus and local model checking
Journal of Computer Science and Technology
An n! lower bound on formula size
ACM Transactions on Computational Logic (TOCL)
Randomized two-process wait-free test-and-set
Distributed Computing
Abstracting cryptographic protocols with tree automata
Science of Computer Programming - Special issue on static analysis (SAS'99)
E-services: a look behind the curtain
Proceedings of the twenty-second ACM SIGMOD-SIGACT-SIGART symposium on Principles of database systems
On the expressivity and complexity of quantitative branching-time temporal logics
Theoretical Computer Science - Latin American theoretical informatics
Proceedings of the 2002 IEEE/ACM international conference on Computer-aided design
SAT and ATPG: Boolean engines for formal hardware verification
Proceedings of the 2002 IEEE/ACM international conference on Computer-aided design
Symbolic model checking of Dual Transition Petri Nets
Proceedings of the tenth international symposium on Hardware/software codesign
Dos and don'ts of CTL state coverage estimation
Proceedings of the 40th annual Design Automation Conference
Learning from BDDs in SAT-based bounded model checking
Proceedings of the 40th annual Design Automation Conference
SAT-based unbounded symbolic model checking
Proceedings of the 40th annual Design Automation Conference
Proceedings of the 25th International Conference on Software Engineering
Modular verification of software components in C
Proceedings of the 25th International Conference on Software Engineering
SetA*: an efficient BDD-based heuristic search algorithm
Eighteenth national conference on Artificial intelligence
A model checker for verifying ConGolog programs
Eighteenth national conference on Artificial intelligence
A retrospective on constraint databases
PCK50 Proceedings of the Paris C. Kanellakis memorial workshop on Principles of computing & knowledge: Paris C. Kanellakis memorial workshop on the occasion of his 50th birthday
Handbook of automated reasoning
Qualitative spatiotemporal representation and reasoning: a computational perspective
Exploring artificial intelligence in the new millennium
First-order logic Davis-Putnam-Logemann-Loveland procedure
Exploring artificial intelligence in the new millennium
An algebraic approach to data languages and timed languages
Information and Computation
Athena: a New Efficient Automatic Checker for Security Protocol Analysis
CSFW '99 Proceedings of the 12th IEEE workshop on Computer Security Foundations
A Logic for Reasoning about Digital Rights
CSFW '02 Proceedings of the 15th IEEE workshop on Computer Security Foundations
Property-Specific Testbench Generation for Guided Simulation
ASP-DAC '02 Proceedings of the 2002 Asia and South Pacific Design Automation Conference
CMC: a pragmatic approach to model checking real code
ACM SIGOPS Operating Systems Review - OSDI '02: Proceedings of the 5th symposium on Operating systems design and implementation
Concrete Impact of Formal Verification on Quality in IP Design and Implementation
ISQED '01 Proceedings of the 2nd International Symposium on Quality Electronic Design
Requirements interaction management
ACM Computing Surveys (CSUR)
Journal of Systems and Software - Special issue on: Component-based software engineering
Structure-preserving binary relations for program abstraction
The essence of computation
Verifying epistemic properties of multi-agent systems via bounded model checking
AAMAS '03 Proceedings of the second international joint conference on Autonomous agents and multiagent systems
Towards model checking interpreted systems
AAMAS '03 Proceedings of the second international joint conference on Autonomous agents and multiagent systems
On feasible cases of checking multi-agent systems behavior
Theoretical Computer Science - Logic and complexity in computer science
Complexity of many-valued logics
Beyond two
Proceedings of the 16th IEEE international conference on Automated software engineering
Automata-Based Verification of Temporal Properties on Running Programs
Proceedings of the 16th IEEE international conference on Automated software engineering
Combining Static Analysis and Model Checking for Software Analysis
Proceedings of the 16th IEEE international conference on Automated software engineering
Weak, strong, and strong cyclic planning via symbolic model checking
Artificial Intelligence - special issue on planning with uncertainty and incomplete information
From datalog rules to efficient programs with time and space guarantees
Proceedings of the 5th ACM SIGPLAN international conference on Principles and practice of declaritive programming
Mathematical reasoning in software engineering education
Communications of the ACM - Why CS students need math
Verifying epistemic properties of multi-agent systems via bounded model checking
Fundamenta Informaticae - Concurrency specification and programming
Design and Implementation of a Fine-Grained Software Inspection Tool
IEEE Transactions on Software Engineering
A strategy for efficiently verifying requirements
Proceedings of the 9th European software engineering conference held jointly with 11th ACM SIGSOFT international symposium on Foundations of software engineering
Verified systems by composition from verified components
Proceedings of the 9th European software engineering conference held jointly with 11th ACM SIGSOFT international symposium on Foundations of software engineering
Runtime safety analysis of multithreaded programs
Proceedings of the 9th European software engineering conference held jointly with 11th ACM SIGSOFT international symposium on Foundations of software engineering
Timed CSP = closed timed ε-automata
Nordic Journal of Computing
Information and Computation
RacerX: effective, static detection of race conditions and deadlocks
SOSP '03 Proceedings of the nineteenth ACM symposium on Operating systems principles
A satisfiability procedure for quantified boolean formulae
Discrete Applied Mathematics - The renesse issue on satisfiability
OOPSLA '03 Companion of the 18th annual ACM SIGPLAN conference on Object-oriented programming, systems, languages, and applications
From Bisimulation to Simulation: Coarsest Partition Problems
Journal of Automated Reasoning
Confirming Configurations in EFSM Testing
IEEE Transactions on Software Engineering
Building reliable, high-performance networks with the Nuprl proof development system
Journal of Functional Programming
Modeling and formal verification of embedded systems based on a Petri net representation
Journal of Systems Architecture: the EUROMICRO Journal - Special issue: Synthesis and verification
Safety Property Verification Using Sequential SAT and Bounded Model Checking
IEEE Design & Test
An efficient algorithm for computing bisimulation equivalence
Theoretical Computer Science
A framework for the integration of partial evaluation and abstract interpretation of logic programs
ACM Transactions on Programming Languages and Systems (TOPLAS)
An unfold/fold transformation framework for definite logic programs
ACM Transactions on Programming Languages and Systems (TOPLAS)
Bounded LTL model checking with stable models
Theory and Practice of Logic Programming
Formally analyzing software architectural specifications using SAM
Journal of Systems and Software
Forward Analysis of Updatable Timed Automata
Formal Methods in System Design
Multi-valued symbolic model-checking
ACM Transactions on Software Engineering and Methodology (TOSEM)
A framework and tool support for the systematic testing of model-based specifications
ACM Transactions on Software Engineering and Methodology (TOSEM)
QoS evaluation of IP telephony services: a specification language based simulation software tool
Systems Analysis Modelling Simulation
Model Checking for Combined Logics with an Application to Mobile Systems
Automated Software Engineering
Industrial experience with test generation languages for processor verification
Proceedings of the 41st annual Design Automation Conference
Verifying a gigabit ethernet switch using SMV
Proceedings of the 41st annual Design Automation Conference
Race checking by context inference
Proceedings of the ACM SIGPLAN 2004 conference on Programming language design and implementation
Flattening statecharts without explosions
Proceedings of the 2004 ACM SIGPLAN/SIGBED conference on Languages, compilers, and tools for embedded systems
Tool Support for Verifying UML Activity Diagrams
IEEE Transactions on Software Engineering
User interface design with matrix algebra
ACM Transactions on Computer-Human Interaction (TOCHI)
Test input generation with java PathFinder
ISSTA '04 Proceedings of the 2004 ACM SIGSOFT international symposium on Software testing and analysis
Faster constraint solving with subtypes
ISSTA '04 Proceedings of the 2004 ACM SIGSOFT international symposium on Software testing and analysis
Iterative Abstraction using SAT-based BMC with Proof Analysis
Proceedings of the 2003 IEEE/ACM international conference on Computer-aided design
Ackermann encoding, bisimulations and OBDDs
Theory and Practice of Logic Programming
Efficient reachability checking using sequential SAT
Proceedings of the 2004 Asia and South Pacific Design Automation Conference
Real-time system modeling with ACCORD/UML methodology: illustration through an automotive case study
Languages for system specification
Linking architectural and component system views by abstract state machines
Languages for system specification
Predicate Abstraction of ANSI-C Programs Using SAT
Formal Methods in System Design
Efficient Verification of Sequential and Concurrent C Programs
Formal Methods in System Design
Lessons Learned from Model Checking a NASA Robot Controller
Formal Methods in System Design
Recovery of PTUIE Handling from Source Codes through Recognizing Its Probable Properties
IEEE Transactions on Knowledge and Data Engineering
Smart Play-Out Extended: Time and Forbidden Elements
QSIC '04 Proceedings of the Quality Software, Fourth International Conference
A First Step Towards Formal Verification of Security Policy Properties for RBAC
QSIC '04 Proceedings of the Quality Software, Fourth International Conference
Towards a Control-Theoretical Approach to Software Fault-Tolerance
QSIC '04 Proceedings of the Quality Software, Fourth International Conference
Modelling and verifying web service orchestration by means of the concurrency workbench
ACM SIGSOFT Software Engineering Notes
Using Formal Techniques to Debug the AMBA System-on-Chip Bus Protocol
DATE '03 Proceedings of the conference on Design, Automation and Test in Europe - Volume 1
VERTAF: An Application Framework for the Design and Verification of Embedded Real-Time Software
IEEE Transactions on Software Engineering
Test-Suite Reduction for Model Based Tests: Effects on Test Quality and Implications for Testing
Proceedings of the 19th IEEE international conference on Automated software engineering
Combination Model Checking: Approach and a Case Study
Proceedings of the 19th IEEE international conference on Automated software engineering
Verifying Interactive Web Programs
Proceedings of the 19th IEEE international conference on Automated software engineering
Model-Based Evaluation: From Dependability to Security
IEEE Transactions on Dependable and Secure Computing
Automatic analysis of firewall and network intrusion detection system configurations
Proceedings of the 2004 ACM workshop on Formal methods in security engineering
Model checking real-time value-passing systems
Journal of Computer Science and Technology
Model Checking Rational Agents
IEEE Intelligent Systems
Using Model Checking to Assess the Dependability of Agent-Based Systems
IEEE Intelligent Systems
Applicability of fair simulation
Information and Computation
Self-regenerative software components
Proceedings of the 2003 ACM workshop on Survivable and self-regenerative systems: in association with 10th ACM Conference on Computer and Communications Security
Flow analysis for verifying properties of concurrent software systems
ACM Transactions on Software Engineering and Methodology (TOSEM)
Dynamic partial-order reduction for model checking software
Proceedings of the 32nd ACM SIGPLAN-SIGACT symposium on Principles of programming languages
Proof-guided underapproximation-widening for multi-process systems
Proceedings of the 32nd ACM SIGPLAN-SIGACT symposium on Principles of programming languages
Modeling and querying biomolecular interaction networks
Theoretical Computer Science - Special issue: Computational systems biology
Taming the complexity of biochemical models through bisimulation and collapsing: theory and practice
Theoretical Computer Science - Special issue: Computational systems biology
Verification of Embedded Memory Systems using Efficient Memory Modeling
Proceedings of the conference on Design, Automation and Test in Europe - Volume 2
The finite and the infinite in temporal logic
ACM SIGACT News
From linear time to branching time
ACM Transactions on Computational Logic (TOCL)
Reasoning about evolving nonmonotonic knowledge bases
ACM Transactions on Computational Logic (TOCL)
Interactive and Probabilistic Proof of Mobile Code Safety
Automated Software Engineering
Qualitative simulation and related approaches for the analysis of dynamic systems
The Knowledge Engineering Review
Model checking meets performance evaluation
ACM SIGMETRICS Performance Evaluation Review
ProbMela and verification of Markov decision processes
ACM SIGMETRICS Performance Evaluation Review
CMC: a pragmatic approach to model checking real code
OSDI '02 Proceedings of the 5th symposium on Operating systems design and implementationCopyright restrictions prevent ACM from being able to make the PDFs for this conference available for downloading
ω-Regular languages are testable with a constant number of queries
Theoretical Computer Science
Live and let die: LSC based verification of UML models
Science of Computer Programming - Formal methods for components and objects pragmatic aspects and applications
Improved on-the-fly verification with testers
Nordic Journal of Computing
Word level predicate abstraction and refinement for verifying RTL verilog
Proceedings of the 42nd annual Design Automation Conference
Beyond safety: customized SAT-based model checking
Proceedings of the 42nd annual Design Automation Conference
Modeling wildcard-free MPI programs for verification
Proceedings of the tenth ACM SIGPLAN symposium on Principles and practice of parallel programming
Scaling model checking of dataraces using dynamic information
Proceedings of the tenth ACM SIGPLAN symposium on Principles and practice of parallel programming
Formal modeling and quantitative analysis of KLAIM-based mobile systems
Proceedings of the 2005 ACM symposium on Applied computing
Applying model checking to BPEL4WS business collaborations
Proceedings of the 2005 ACM symposium on Applied computing
Value-oriented design of service coordination processes: correctness and trust
Proceedings of the 2005 ACM symposium on Applied computing
PLTL-partitioned model checking for reactive systems under fairness assumptions
ACM Transactions on Embedded Computing Systems (TECS)
Combining supervisor synthesis and model checking
ACM Transactions on Embedded Computing Systems (TECS)
Fully Symbolic Unbounded Model Checking for Alternating-time Temporal Logic1
Autonomous Agents and Multi-Agent Systems
Composition of software artifacts modelled using colored Petri nets
Science of Computer Programming - Special issue on new software composition concepts
Application of Wu's method to symbolic model checking
Proceedings of the 2005 international symposium on Symbolic and algebraic computation
A passive testing approach based on invariants: application to the WAP
Computer Networks and ISDN Systems
SOBER: statistical model-based bug localization
Proceedings of the 10th European software engineering conference held jointly with 13th ACM SIGSOFT international symposium on Foundations of software engineering
Bounded model checking for knowledge and real time
Proceedings of the fourth international joint conference on Autonomous agents and multiagent systems
Event-based runtime verification of java programs
WODA '05 Proceedings of the third international workshop on Dynamic analysis
Distributed Symbolic Model Checking for μ-Calculus
Formal Methods in System Design
Random testing of C calling conventions
Proceedings of the sixth international symposium on Automated analysis-driven debugging
Security modeling and quantification of intrusion tolerant systems using attack-response graph
Journal of High Speed Networks
Modeling Erlang in the pi-calculus
Proceedings of the 2005 ACM SIGPLAN workshop on Erlang
Mechanising first-order temporal resolution
Information and Computation - Special issue: 19th international conference on automated deduction (CADE-19)
Merged processes: a new condensed representation of Petri net behaviour
CONCUR 2005 - Concurrency Theory
Proceedings of the 20th IEEE/ACM international Conference on Automated software engineering
Synchronizability of Conversations among Web Services
IEEE Transactions on Software Engineering
A deterministic subexponential algorithm for solving parity games
SODA '06 Proceedings of the seventeenth annual ACM-SIAM symposium on Discrete algorithm
Model-Based Testing of Concurrent Programs with Predicate Sequencing Constraints
QSIC '05 Proceedings of the Fifth International Conference on Quality Software
Formal Verification of Dead Code Elimination in Isabelle/HOL
SEFM '05 Proceedings of the Third IEEE International Conference on Software Engineering and Formal Methods
Stuttering Abstraction for Model Checkin
SEFM '05 Proceedings of the Third IEEE International Conference on Software Engineering and Formal Methods
Formal Analysis of Human-computer Interaction using Model-checking
SEFM '05 Proceedings of the Third IEEE International Conference on Software Engineering and Formal Methods
Analyzing Conversations of Web Services
IEEE Internet Computing
Proceedings of the 2004 IEEE/ACM International conference on Computer-aided design
Incremental deductive & inductive reasoning for SAT-based bounded model checking
Proceedings of the 2004 IEEE/ACM International conference on Computer-aided design
Efficient SAT-based unbounded symbolic model checking using circuit cofactoring
Proceedings of the 2004 IEEE/ACM International conference on Computer-aided design
Efficient computation of small abstraction refinements
Proceedings of the 2004 IEEE/ACM International conference on Computer-aided design
Piparazzi: a test program generator for micro-architecture flow verification
HLDVT '03 Proceedings of the Eighth IEEE International Workshop on High-Level Design Validation and Test Workshop
HLDVT '03 Proceedings of the Eighth IEEE International Workshop on High-Level Design Validation and Test Workshop
A comparison of BDDs, BMC, and sequential SAT for model checking
HLDVT '03 Proceedings of the Eighth IEEE International Workshop on High-Level Design Validation and Test Workshop
Typestate verification: abstraction techniques and complexity results
Science of Computer Programming - Special issue: Static analysis symposium (SAS 2003)
Abstract interpretation of programs as Markov decision processes
Science of Computer Programming - Special issue: Static analysis symposium (SAS 2003)
An Algorithm for Strongly Connected Component Analysis in n log n Symbolic Steps
Formal Methods in System Design
Formal verification of control software: a case study
IEA/AIE'2005 Proceedings of the 18th international conference on Innovations in Applied Artificial Intelligence
Transition-based coverage estimation for symbolic model checking
ASP-DAC '06 Proceedings of the 2006 Asia and South Pacific Design Automation Conference
A theory of stochastic systems: part I: Stochastic automata
Information and Computation
On the semantics of EPCs: resolving the vicious circle
Data & Knowledge Engineering - Special issue: Business process management
Model checking discounted temporal properties
Theoretical Computer Science - Tools and algorithms for the construction and analysis of systems (TACAS 2004)
Specification and verification of inter-component constraints in CTL
SAVCBS '05 Proceedings of the 2005 conference on Specification and verification of component-based systems
Memory arbiter synthesis and verification for a radar memory interface card
Nordic Journal of Computing
Symbolic model checking of UML activity diagrams
ACM Transactions on Software Engineering and Methodology (TOSEM)
UML-B: Formal modeling and design aided by UML
ACM Transactions on Software Engineering and Methodology (TOSEM)
Test generation using SAT-based bounded model checking for validation of pipelined processors
GLSVLSI '06 Proceedings of the 16th ACM Great Lakes symposium on VLSI
A complete compositional reasoning framework for the efficient verification of pipelined machines
ICCAD '05 Proceedings of the 2005 IEEE/ACM International conference on Computer-aided design
Efficient LTL compilation for SAT-based model checking
ICCAD '05 Proceedings of the 2005 IEEE/ACM International conference on Computer-aided design
Formal verification of systemc designs using a petri-net based representation
Proceedings of the conference on Design, automation and test in Europe: Proceedings
Functional test generation using property decompositions for validation of pipelined processors
Proceedings of the conference on Design, automation and test in Europe: Proceedings
Quantitative μ-calculus and CTL defined over constraint semirings
Theoretical Computer Science - Quantitative aspects of programming languages (QAPL 2004)
Dual Flow Nets: Modeling the control/data-flow relation in embedded systems
ACM Transactions on Embedded Computing Systems (TECS)
Equivalence-checking on infinite-state systems: Techniques and results
Theory and Practice of Logic Programming
Automatic verification of timed concurrent constraint programs
Theory and Practice of Logic Programming
Symmetry in temporal logic model checking
ACM Computing Surveys (CSUR)
Model checking system software with CMC
EW 10 Proceedings of the 10th workshop on ACM SIGOPS European workshop
Reasoning about synchronization in GALS systems
Formal Methods in System Design
Efficiency analysis of model-based review in actual software design
Proceedings of the 28th international conference on Software engineering
LtRules: an automated software library usage rule extraction tool
Proceedings of the 28th international conference on Software engineering
Verifying the adaptation behavior of embedded systems
Proceedings of the 2006 international workshop on Self-adaptation and self-managing systems
A verification methodology for concurrent software with synchronous communication
Formal methods and models for system design
Highlevel verification of control intensive systems using predicate abstraction
Formal methods and models for system design
Addressing problem frame concerns via coloured petri nets and graphical animation
Proceedings of the 2006 international workshop on Advances and applications of problem frames
Advanced unit testing: how to scale up a unit test framework
Proceedings of the 2006 international workshop on Automation of software test
On the universal and existential fragments of the μ-calculus
Theoretical Computer Science - Tools and algorithms for the construction and analysis of systems (TACAS 2003)
Slicing techniques for verification re-use
Theoretical Computer Science - Formal methods for components and objects
Modere: the model-checking engine of Rebeca
Proceedings of the 2006 ACM symposium on Applied computing
Imcompleteness of States w.r.t traces in model Checking
Information and Computation
Efficient timed model checking for discrete-time systems
Theoretical Computer Science
Random testing of formal software models and induced coverage
Proceedings of the 1st international workshop on Random testing
Verification of embedded systems based on interval analysis
International Journal of Parallel Programming
Coverage metrics for requirements-based testing
Proceedings of the 2006 international symposium on Software testing and analysis
Guiding simulation with increasingly refined abstract traces
Proceedings of the 43rd annual Design Automation Conference
Fast falsification based on symbolic bounded property checking
Proceedings of the 43rd annual Design Automation Conference
A parametric analysis of the state-explosion problem in model checking
Journal of Computer and System Sciences
Nested emptiness search for generalized Büchi automata
Fundamenta Informaticae - Special issue on application of concurrency to system design (ACSD'04)
Interaction design for a mobile context-aware system using discrete event modelling
ACSC '06 Proceedings of the 29th Australasian Computer Science Conference - Volume 48
Distributed breadth-first search LTL model checking
Formal Methods in System Design
Towards automatic verification of Erlang programs by π-calculus translation
Proceedings of the 2006 ACM SIGPLAN workshop on Erlang
BTL2 and the expressive power of ECTL+
Information and Computation
Model checking knowledge, strategies, and games in multi-agent systems
AAMAS '06 Proceedings of the fifth international joint conference on Autonomous agents and multiagent systems
Model checking for multivalued logic of knowledge and time
AAMAS '06 Proceedings of the fifth international joint conference on Autonomous agents and multiagent systems
The complexity of model checking concurrent programs against CTLK specifications
AAMAS '06 Proceedings of the fifth international joint conference on Autonomous agents and multiagent systems
Optimizing slicing of formal specifications by deductive verification
Nordic Journal of Computing - Selected papers of the 17th nordic workshop on programming theory (NWPT'05), October 19-21, 2005
Symbolic Techniques in Satisfiability Solving
Journal of Automated Reasoning
Toward an integratred verification environment for embedded systems
MS'06 Proceedings of the 17th IASTED international conference on Modelling and simulation
Efficient software model checking of data structure properties
Proceedings of the 21st annual ACM SIGPLAN conference on Object-oriented programming systems, languages, and applications
Coverage metrics for temporal logic model checking
Formal Methods in System Design
Feature interaction detection by pairwise analysis of LTL properties: a case study
Formal Methods in System Design
Optimistic synchronization-based state-space reduction
Formal Methods in System Design
Automatic generation of assumptions for modular verification of software specifications
Journal of Systems and Software - Special issue: Selected papers from the 4th source code analysis and manipulation (SCAM 2004) workshop
Temporal search: detecting hidden malware timebombs with virtual machines
Proceedings of the 12th international conference on Architectural support for programming languages and operating systems
Harnessing Machine Learning to Improve the Success Rate of Stimuli Generation
IEEE Transactions on Computers
Data structures for symbolic multi-valued model-checking
Formal Methods in System Design
Question-guided stubborn set methods for state properties
Formal Methods in System Design
SYNERGY: a new algorithm for property checking
Proceedings of the 14th ACM SIGSOFT international symposium on Foundations of software engineering
Combining symmetry reduction and under-approximation for symbolic model checking
Formal Methods in System Design
Formal verification and software product lines
Communications of the ACM - Software product line
A system for the static analysis of XPath
ACM Transactions on Information Systems (TOIS)
Using model checking to find serious file system errors
ACM Transactions on Computer Systems (TOCS)
On-Chip Communication Architectures: System on Chip Interconnect
On-Chip Communication Architectures: System on Chip Interconnect
Proceedings of the 38th conference on Winter simulation
Automated requirements-based test case generation
ACM SIGSOFT Software Engineering Notes
On Designated Values in Multi-valued CTL^* Model Checking
Fundamenta Informaticae - Concurrency Specification and Programming (CS&P 2003)
A Logic Framework for Verification of Timed Algorithms
Fundamenta Informaticae - Continuous Time Paradigms in Logic and Automata
ACTLS properties and Bounded Model Checking
Fundamenta Informaticae
From Bounded to Unbounded Model Checking for Temporal Epistemic Logic
Fundamenta Informaticae - Multiagent Systems (FAMAS'03)
Modeling and Verification of Reactive Systems using Rebeca
Fundamenta Informaticae
A novel collaborative scheme of simulation and model checking for system properties verification
Computers in Industry - Special issue: Collaborative environments for concurrent engineering
Three-valued automated reasoning on analog properties
Proceedings of the 17th ACM Great Lakes symposium on VLSI
Disjunctive image computation for software verification
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Comparing BDD and SAT Based Techniques for Model Checking Chaum's Dining Cryptographers Protocol
Fundamenta Informaticae - SPECIAL ISSUE ON CONCURRENCY SPECIFICATION AND PROGRAMMING (CS&P 2005) Ruciane-Nide, Poland, 28-30 September 2005
Update and Abstraction in Model Checking of Knowledge and Branching Time
Fundamenta Informaticae - SPECIAL ISSUE ON CONCURRENCY SPECIFICATION AND PROGRAMMING (CS&P 2005) Ruciane-Nide, Poland, 28-30 September 2005
Decidability and Universality in Symbolic Dynamical Systems
Fundamenta Informaticae - SPECIAL ISSUE MCU2004
Verification of SpecC using predicate abstraction
Formal Methods in System Design
Verification of bounded Petri nets using integer programming
Formal Methods in System Design
Bounded model checking of infinite state systems
Formal Methods in System Design
From molecular interactions to gates: a systematic approach
Proceedings of the 2006 IEEE/ACM international conference on Computer-aided design
Scaling model checking of dataraces using dynamic information
Journal of Parallel and Distributed Computing
Semantics and pragmatics of Real-Time Maude
Higher-Order and Symbolic Computation
Higher-Order and Symbolic Computation
From NuSMV to SPIN: Experiences with model checking flight guidance systems
Formal Methods in System Design
State explosion in almost-sure probabilistic reachability
Information Processing Letters
Team automata for spatial access control
ECSCW'01 Proceedings of the seventh conference on European Conference on Computer Supported Cooperative Work
Model checking the Java metalocking algorithm
ACM Transactions on Software Engineering and Methodology (TOSEM)
Interpolant Learning and Reuse in SAT-Based Model Checking
Electronic Notes in Theoretical Computer Science (ENTCS)
Symbolic model checking for probabilistic timed automata
Information and Computation
Backward Bisimulation in Markov Chain Model Checking
IEEE Transactions on Software Engineering
Saturation for a General Class of Models
IEEE Transactions on Software Engineering
Statistical Debugging: A Hypothesis Testing-Based Approach
IEEE Transactions on Software Engineering
Efficient static analysis of XML paths and types
Proceedings of the 2007 ACM SIGPLAN conference on Programming language design and implementation
Using model checking to find serious file system errors
OSDI'04 Proceedings of the 6th conference on Symposium on Opearting Systems Design & Implementation - Volume 6
The Challenges of Building Advanced Mechatronic Systems
FOSE '07 2007 Future of Software Engineering
Safety and Software Intensive Systems: Challenges Old and New
FOSE '07 2007 Future of Software Engineering
Model Checking Safety-Critical Systems Using Safecharts
IEEE Transactions on Computers
Model Checking Markov Chains with Actions and State Labels
IEEE Transactions on Software Engineering
On the effect of test-suite reduction on automatically generated model-based tests
Automated Software Engineering
Estimating functional coverage in bounded model checking
Proceedings of the conference on Design, automation and test in Europe
Proceedings of the conference on Design, automation and test in Europe
Symbolic Model Checking for Channel-based Component Connectors
Electronic Notes in Theoretical Computer Science (ENTCS)
Refinement preserving approximations for the design and verification of heterogeneous systems
Formal Methods in System Design
Exploiting interleaving semantics in symbolic state-space generation
Formal Methods in System Design
Alternative Logics: a book review: SIGACT news logic column 18
ACM SIGACT News
Delta execution for efficient state-space exploration of object-oriented programs
Proceedings of the 2007 international symposium on Software testing and analysis
Semantics driven dynamic partial-order reduction of MPI-based parallel programs
Proceedings of the 2007 ACM workshop on Parallel and distributed systems: testing and debugging
Proceedings of the 2007 ACM workshop on Parallel and distributed systems: testing and debugging
Towards Property Oriented Testing
Electronic Notes in Theoretical Computer Science (ENTCS)
Extending Symmetry Reduction Techniques to a Realistic Model of Computation
Electronic Notes in Theoretical Computer Science (ENTCS)
Electronic Notes in Theoretical Computer Science (ENTCS)
A new foundation for control dependence and slicing for modern program structures
ACM Transactions on Programming Languages and Systems (TOPLAS) - Special Issue ESOP'05
Formal Sequentialization of Distributed Systems via Program Rewriting
Electronic Notes in Theoretical Computer Science (ENTCS)
Probabilistic abstraction for model checking: An approach based on property testing
ACM Transactions on Computational Logic (TOCL)
Formal techniques for SystemC verification
Proceedings of the 44th annual Design Automation Conference
Abstraction and Completeness for Real-Time Maude
Electronic Notes in Theoretical Computer Science (ENTCS)
A Distributed Implementation of Mobile Maude
Electronic Notes in Theoretical Computer Science (ENTCS)
Abstraction and Model Checking of Core Erlang Programs in Maude
Electronic Notes in Theoretical Computer Science (ENTCS)
Electronic Notes in Theoretical Computer Science (ENTCS)
Symbolic model checking of institutions
Proceedings of the ninth international conference on Electronic commerce
Goal-directed weakening of abstract interpretation results
ACM Transactions on Programming Languages and Systems (TOPLAS)
Proceedings of the the 6th joint meeting of the European software engineering conference and the ACM SIGSOFT symposium on The foundations of software engineering
SLEDE: lightweight verification of sensor network security protocol implementations
Proceedings of the the 6th joint meeting of the European software engineering conference and the ACM SIGSOFT symposium on The foundations of software engineering
GSTE is partitioned model checking
Formal Methods in System Design
Plan-directed architectural change for autonomous systems
Proceedings of the 2007 conference on Specification and verification of component-based systems: 6th Joint Meeting of the European Conference on Software Engineering and the ACM SIGSOFT Symposium on the Foundations of Software Engineering
Verification of timed erlang/OTP components using the process algebra μcrl
ERLANG '07 Proceedings of the 2007 SIGPLAN workshop on ERLANG Workshop
FSMC+, a tool for the generation of Java code from statecharts
Proceedings of the 5th international symposium on Principles and practice of programming in Java
A SAT-based parser and completer for pictures specified by tiling
Pattern Recognition
Causality versus true-concurrency
Theoretical Computer Science
SLEDE: lightweight verification of sensor network security protocol implementations
The 6th Joint Meeting on European software engineering conference and the ACM SIGSOFT symposium on the foundations of software engineering: companion papers
Groebner bases computation in Boolean rings for symbolic model checking
MOAS'07 Proceedings of the 18th conference on Proceedings of the 18th IASTED International Conference: modelling and simulation
Starc: static analysis for efficient repair of complex data
Proceedings of the 22nd annual ACM SIGPLAN conference on Object-oriented programming systems and applications
A game-based framework for CTL counterexamples and 3-valued abstraction-refinement
ACM Transactions on Computational Logic (TOCL)
EXPLODE: a lightweight, general system for finding serious storage system errors
OSDI '06 Proceedings of the 7th symposium on Operating systems design and implementation
Security policy compliance with violation management
Proceedings of the 2007 ACM workshop on Formal methods in security engineering
Bounded model checking for knowledge and real time
Artificial Intelligence
Generating Java Compiler Optimizers Using Bidirectional CTL
Electronic Notes in Theoretical Computer Science (ENTCS)
Formal Methods for MPI Programs
Electronic Notes in Theoretical Computer Science (ENTCS)
Efficient policy analysis for administrative role based access control
Proceedings of the 14th ACM conference on Computer and communications security
Memory model sensitive bytecode verification
Formal Methods in System Design
Strategies and simulations in a semantic framework
Journal of Algorithms
Effective random testing of concurrent programs
Proceedings of the twenty-second IEEE/ACM international conference on Automated software engineering
Verification of Giotto based embedded control systems
Nordic Journal of Computing
Symbolic model checking for temporal-epistemic logics
ACM SIGACT News
Formal verification at higher levels of abstraction
Proceedings of the 2007 IEEE/ACM international conference on Computer-aided design
State-set branching: Leveraging BDDs for heuristic search
Artificial Intelligence
A quantified epistemic logic for reasoning about multiagent systems
Proceedings of the 6th international joint conference on Autonomous agents and multiagent systems
A temporal epistemic logic with a reset operation
Proceedings of the 6th international joint conference on Autonomous agents and multiagent systems
A network programming language based on concurrent processes and regular expressions
SE'07 Proceedings of the 25th conference on IASTED International Multi-Conference: Software Engineering
ACTLW - An action-based computation tree logic with unless operator
Information Sciences: an International Journal
Model checking for the practical verificationist: a user's perspective on SAL
Proceedings of the second workshop on Automated formal methods
ISP: a tool for model checking MPI programs
Proceedings of the 13th ACM SIGPLAN Symposium on Principles and practice of parallel programming
The worst-case execution-time problem—overview of methods and survey of tools
ACM Transactions on Embedded Computing Systems (TECS)
A Game Theoretic Approach to the Analysis of Dynamic Networks
Electronic Notes in Theoretical Computer Science (ENTCS)
Distributed Verification of Multi-threaded C++ Programs
Electronic Notes in Theoretical Computer Science (ENTCS)
On the complexity of partial order trace model checking
Information Processing Letters
Formal methods for the analysis and synthesis of nanometer-scale cellular arrays
ACM Journal on Emerging Technologies in Computing Systems (JETC)
Computer Languages, Systems and Structures
Slede: a domain-specific verification framework for sensor network security protocol implementations
WiSec '08 Proceedings of the first ACM conference on Wireless network security
What causes a system to satisfy a specification?
ACM Transactions on Computational Logic (TOCL)
A symbolic approach for mixed-signal model checking
Proceedings of the 2008 Asia and South Pacific Design Automation Conference
Modeling, verification, and implementation of PLC program using timed-MPSG
Proceedings of the 2007 Summer Computer Simulation Conference
Generalizing the Paige--Tarjan algorithm by abstract interpretation
Information and Computation
Algebraic Stuttering Simulations
Electronic Notes in Theoretical Computer Science (ENTCS)
Model Checking Abstract State Machines with Answer Set Programming
Fundamenta Informaticae - This is a SPECIAL ISSUE ON ASM'05
Bounded Model Checking for the Existential Fragment of TCTL_{-G} and Diagonal Timed Automata
Fundamenta Informaticae
Verification of the TESLA protocol in MCMAS-X
Fundamenta Informaticae - Special Issue on Concurrency Specification and Programming (CS&P)
Invariance Under Stuttering in a Temporal Logic without the "Until" Operator
Fundamenta Informaticae
A compositional symbolic verification framework for concurrent software
Proceedings of the 2nd international conference on Scalable information systems
A Basic Logic for Reasoning about Connector Reconfiguration
Fundamenta Informaticae - Behavior of Composed Concurrent Systems: Logic and Reasoning
Specification-driven directed test generation for validation of pipelined processors
ACM Transactions on Design Automation of Electronic Systems (TODAES)
BPSL Modeler -- Visual Notation Language for Intuitive Business Property Reasoning
Electronic Notes in Theoretical Computer Science (ENTCS)
Incremental state-space exploration for programs with dynamically allocated data
Proceedings of the 30th international conference on Software engineering
Slicing for model reduction in adaptive embedded systems development
Proceedings of the 2008 international workshop on Software engineering for adaptive and self-managing systems
Automatic analysis of firewall and network intrusion detection system configurations
Journal of Computer Security - Formal Methods in Security Engineering Workshop (FMSE 04)
Behavioural model fusion: an overview of challenges
Proceedings of the 2008 international workshop on Models in software engineering
Security protocols, properties, and their monitoring
Proceedings of the fourth international workshop on Software engineering for secure systems
Toward Verified Biological Models
IEEE/ACM Transactions on Computational Biology and Bioinformatics (TCBB)
Formal Verification of Websites
Electronic Notes in Theoretical Computer Science (ENTCS)
Race directed random testing of concurrent programs
Proceedings of the 2008 ACM SIGPLAN conference on Programming language design and implementation
Program analysis as constraint solving
Proceedings of the 2008 ACM SIGPLAN conference on Programming language design and implementation
Declarative programming for verification: lessons and outlook
Proceedings of the 10th international ACM SIGPLAN conference on Principles and practice of declarative programming
Efficient solving of structural constraints
ISSTA '08 Proceedings of the 2008 international symposium on Software testing and analysis
A Comparative Study of Industrial Static Analysis Tools
Electronic Notes in Theoretical Computer Science (ENTCS)
Proving Correctness of an Efficient Abstraction for Interrupt Handling
Electronic Notes in Theoretical Computer Science (ENTCS)
Incremental analysis of interference among aspects
Proceedings of the 7th workshop on Foundations of aspect-oriented languages
Mining temporal rules for software maintenance
Journal of Software Maintenance and Evolution: Research and Practice - Special Issue on Program Comprehension through Dynamic Analysis (PCODA)
Theoretical Computer Science
Mining past-time temporal rules from execution traces
WODA '08 Proceedings of the 2008 international workshop on dynamic analysis: held in conjunction with the ACM SIGSOFT International Symposium on Software Testing and Analysis (ISSTA 2008)
Modelling coalitions: ATL + argumentation
Proceedings of the 7th international joint conference on Autonomous agents and multiagent systems - Volume 2
Model-checking agent refinement
Proceedings of the 7th international joint conference on Autonomous agents and multiagent systems - Volume 2
Towards verifying compliance in agent-based web service compositions
Proceedings of the 7th international joint conference on Autonomous agents and multiagent systems - Volume 1
A flexible framework for verifying agent programs
Proceedings of the 7th international joint conference on Autonomous agents and multiagent systems - Volume 3
Deflating the big bang: fast and scalable deep packet inspection with extended finite automata
Proceedings of the ACM SIGCOMM 2008 conference on Data communication
A system model for formal verification of TLM based transaction properties
SpringSim '07 Proceedings of the 2007 spring simulaiton multiconference - Volume 1
Model checking with Boolean Satisfiability
Journal of Algorithms
A rigorous approach towards test case generation
Information Sciences: an International Journal
An automatic abstraction technique for verifying featured, parameterised systems
Theoretical Computer Science
Proceedings of the 2008 ACM SIGPLAN workshop on ML
Formal Verification for C Program
Informatica
Temporal Logics for Representing Agent Communication Protocols
Agent Communication II
Model Checking: Back and Forth between Hardware and Software
Verified Software: Theories, Tools, Experiments
A Case for Specification Validation
Verified Software: Theories, Tools, Experiments
Decision Procedures for the Grand Challenge
Verified Software: Theories, Tools, Experiments
Program Verification by Using DISCOVERER
Verified Software: Theories, Tools, Experiments
Games, Time, and Probability: Graph Models for System Design and Analysis
SOFSEM '07 Proceedings of the 33rd conference on Current Trends in Theory and Practice of Computer Science
Checking Interaction Consistency in MARMOT Component Refinements
SOFSEM '07 Proceedings of the 33rd conference on Current Trends in Theory and Practice of Computer Science
Specification and Verification of Institutions Through Status Functions
Coordination, Organizations, Institutions, and Norms in Agent Systems II
A Symbolic Model Checking Framework for Safety Analysis, Diagnosis, and Synthesis
Model Checking and Artificial Intelligence
Symbolic Model Checking of Logics with Actions
Model Checking and Artificial Intelligence
A Framework for Model Checking Institutions
Model Checking and Artificial Intelligence
Successive Abstractions of Hybrid Automata for Monotonic CTL Model Checking
LFCS '07 Proceedings of the international symposium on Logical Foundations of Computer Science
A Temporal Dynamic Logic for Verifying Hybrid System Invariants
LFCS '07 Proceedings of the international symposium on Logical Foundations of Computer Science
Differential Dynamic Logic for Verifying Parametric Hybrid Systems
TABLEAUX '07 Proceedings of the 16th international conference on Automated Reasoning with Analytic Tableaux and Related Methods
Verifying Erlang/OTP Components in μCRL
FORTE '07 Proceedings of the 27th IFIP WG 6.1 international conference on Formal Techniques for Networked and Distributed Systems
Testing Distributed Systems Through Symbolic Model Checking
FORTE '07 Proceedings of the 27th IFIP WG 6.1 international conference on Formal Techniques for Networked and Distributed Systems
Dealing with Nondeterminism in Symbolic Control
HSCC '08 Proceedings of the 11th international workshop on Hybrid Systems: Computation and Control
Distributed Lyapunov Functions in Analysis of Graph Models of Software
HSCC '08 Proceedings of the 11th international workshop on Hybrid Systems: Computation and Control
Parameter Synthesis for Piecewise Affine Systems from Temporal Logic Specifications
HSCC '08 Proceedings of the 11th international workshop on Hybrid Systems: Computation and Control
d-IRA: A Distributed Reachability Algorithm for Analysis of Linear Hybrid Automata
HSCC '08 Proceedings of the 11th international workshop on Hybrid Systems: Computation and Control
Trace-Based Specification of Law and Guidance Policies for Multi-Agent Systems
Engineering Societies in the Agents World VIII
25 Years of Model Checking
FM '08 Proceedings of the 15th international symposium on Formal Methods
On the Timed Automata-Based Verification of Ravenscar Systems
Ada-Europe '08 Proceedings of the 13th Ada-Europe international conference on Reliable Software Technologies
Concurrent Program Metrics Drawn by Quasar
Ada-Europe '08 Proceedings of the 13th Ada-Europe international conference on Reliable Software Technologies
Component-Based Abstraction and Refinement
ICSR '08 Proceedings of the 10th international conference on Software Reuse: High Confidence Software Reuse in Large Systems
Counterexample Guided Spotlight Abstraction Refinement
FORTE '08 Proceedings of the 28th IFIP WG 6.1 international conference on Formal Techniques for Networked and Distributed Systems
Verifying Erlang Telecommunication Systems with the Process Algebra μCRL
FORTE '08 Proceedings of the 28th IFIP WG 6.1 international conference on Formal Techniques for Networked and Distributed Systems
Bounded Asynchrony: Concurrency for Modeling Cell-Cell Interactions
FMSB '08 Proceedings of the 1st international workshop on Formal Methods in Systems Biology
A CSP-Based Approach for Solving Parity Game
FAW '08 Proceedings of the 2nd annual international workshop on Frontiers in Algorithmics
A Practical Approach to Verification of Mobile Systems Using Net Unfoldings
PETRI NETS '08 Proceedings of the 29th international conference on Applications and Theory of Petri Nets
AND/OR Multi-valued Decision Diagrams for Constraint Networks
Concurrency, Graphs and Models
Approximating Behaviors in Embedded System Design
Concurrency, Graphs and Models
Formula-Dependent Abstraction for CTL Model Checking
ICCSA '08 Proceedings of the international conference on Computational Science and Its Applications, Part II
Producing Short Counterexamples Using "Crucial Events"
CAV '08 Proceedings of the 20th international conference on Computer Aided Verification
Semi-external LTL Model Checking
CAV '08 Proceedings of the 20th international conference on Computer Aided Verification
Synthesis of Optimal Control Policies for Some Infinite-State Transition Systems
MPC '08 Proceedings of the 9th international conference on Mathematics of Program Construction
Systematic Analysis of Control Panel Interfaces Using Formal Tools
Interactive Systems. Design, Specification, and Verification
Tutorial on Model Checking: Modelling and Verification in Computer Science
AB '08 Proceedings of the 3rd international conference on Algebraic Biology
Automated Evaluation of Secure Route Discovery in MANET Protocols
SPIN '08 Proceedings of the 15th international workshop on Model Checking Software
Resource-Aware Verification Using Randomized Exploration of Large State Spaces
SPIN '08 Proceedings of the 15th international workshop on Model Checking Software
Recasting Constraint Automata into Büchi Automata
Proceedings of the 5th international colloquium on Theoretical Aspects of Computing
Testing Concurrent Objects with Application-Specific Schedulers
Proceedings of the 5th international colloquium on Theoretical Aspects of Computing
Bounded Model Checking for Partial Kripke Structures
Proceedings of the 5th international colloquium on Theoretical Aspects of Computing
Verification of Linear Duration Invariants by Model Checking CTL Properties
Proceedings of the 5th international colloquium on Theoretical Aspects of Computing
Improving Trust in Composite eServices Via Run-Time Participants Testing
EGOV '08 Proceedings of the 7th international conference on Electronic Government
Dynamic Adaptation of Workflow Based Service Compositions
ICIC '08 Proceedings of the 4th international conference on Intelligent Computing: Advanced Intelligent Computing Theories and Applications - with Aspects of Theoretical and Methodological Issues
The High Road to Formal Validation
ABZ '08 Proceedings of the 1st international conference on Abstract State Machines, B and Z
From Verification to Synthesis
VSTTE '08 Proceedings of the 2nd international conference on Verified Software: Theories, Tools, Experiments
Scenario-Based Static Analysis of UML Class Models
MoDELS '08 Proceedings of the 11th international conference on Model Driven Engineering Languages and Systems
Efficient software model checking of soundness of type systems
Proceedings of the 23rd ACM SIGPLAN conference on Object-oriented programming systems languages and applications
Time separations of cyclic event rule systems with min-max timing constraints
Theoretical Computer Science
Randomized active atomicity violation detection in concurrent programs
Proceedings of the 16th ACM SIGSOFT International Symposium on Foundations of software engineering
Towards compositional synthesis of evolving systems
Proceedings of the 16th ACM SIGSOFT International Symposium on Foundations of software engineering
3-Valued abstraction: More precision at less cost
Information and Computation
Using model checkers in an introductory course on operating systems
ACM SIGOPS Operating Systems Review
Querying and monitoring distributed business processes
Proceedings of the VLDB Endowment
Mining patterns and rules for software specification discovery
Proceedings of the VLDB Endowment
Robust safety of timed automata
Formal Methods in System Design
Modelling secure wireless sensor networks routing protocols with timed automata
Proceedings of the 3nd ACM workshop on Performance monitoring and measurement of heterogeneous wireless and wired networks
Design intent coverage revisited
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Planning as model checking: the performance of ProB vs NuSMV
Proceedings of the 2008 annual research conference of the South African Institute of Computer Scientists and Information Technologists on IT research in developing countries: riding the wave of technology
On temporal logic constraint solving for analyzing numerical data time series
Theoretical Computer Science
Using formal specifications to support testing
ACM Computing Surveys (CSUR)
TPHOLs '08 Proceedings of the 21st International Conference on Theorem Proving in Higher Order Logics
Efficient Compliance Checking Using BPMN-Q and Temporal Logic
BPM '08 Proceedings of the 6th International Conference on Business Process Management
CMSB '08 Proceedings of the 6th International Conference on Computational Methods in Systems Biology
CMSB '08 Proceedings of the 6th International Conference on Computational Methods in Systems Biology
CTL Model-Checking with Graded Quantifiers
ATVA '08 Proceedings of the 6th International Symposium on Automated Technology for Verification and Analysis
A Direct Algorithm for Multi-valued Bounded Model Checking
ATVA '08 Proceedings of the 6th International Symposium on Automated Technology for Verification and Analysis
Loop Summarization Using Abstract Transformers
ATVA '08 Proceedings of the 6th International Symposium on Automated Technology for Verification and Analysis
ATVA '08 Proceedings of the 6th International Symposium on Automated Technology for Verification and Analysis
A Complete Quantified Epistemic Logic for Reasoning about Message Passing Systems
Computational Logic in Multi-Agent Systems
Inclusion dynamics hybrid automata
Information and Computation
A New Algorithm for Partitioned Symbolic Reachability Analysis
Electronic Notes in Theoretical Computer Science (ENTCS)
Requirements Coverage as an Adequacy Measure for Conformance Testing
ICFEM '08 Proceedings of the 10th International Conference on Formal Methods and Software Engineering
A Unified Model Checking Approach with Projection Temporal Logic
ICFEM '08 Proceedings of the 10th International Conference on Formal Methods and Software Engineering
Property Patterns for Runtime Monitoring of Web Service Conversations
Runtime Verification
Verifying Resource Requirements for Distributed Rule-Based Systems
RuleML '08 Proceedings of the International Symposium on Rule Representation, Interchange and Reasoning on the Web
Reasoning about XML with Temporal Logics and Automata
LPAR '08 Proceedings of the 15th International Conference on Logic for Programming, Artificial Intelligence, and Reasoning
Encoding Queues in Satisfiability Modulo Theories Based Bounded Model Checking
LPAR '08 Proceedings of the 15th International Conference on Logic for Programming, Artificial Intelligence, and Reasoning
Program Complexity in Hierarchical Module Checking
LPAR '08 Proceedings of the 15th International Conference on Logic for Programming, Artificial Intelligence, and Reasoning
A Case for Using Signal Transition Graphs for Analysing and Refining Genetic Networks
Electronic Notes in Theoretical Computer Science (ENTCS)
Relating Cognitive Process Models to Behavioural Models of Agents
WI-IAT '08 Proceedings of the 2008 IEEE/WIC/ACM International Conference on Web Intelligence and Intelligent Agent Technology - Volume 02
An Abstract Model for Dynamic Access Control Architectures
WI-IAT '08 Proceedings of the 2008 IEEE/WIC/ACM International Conference on Web Intelligence and Intelligent Agent Technology - Volume 03
Theoretical Computer Science
Security benchmarking using partial verification
HOTSEC'08 Proceedings of the 3rd conference on Hot topics in security
Reasoning about online algorithms with weighted automata
SODA '09 Proceedings of the twentieth Annual ACM-SIAM Symposium on Discrete Algorithms
Computational virtuality in biological systems
Theoretical Computer Science
Automatic Symmetry Detection for Promela
Journal of Automated Reasoning
Formal Methods in System Design
Formal verification of practical MPI programs
Proceedings of the 14th ACM SIGPLAN symposium on Principles and practice of parallel programming
Detection of Security Vulnerabilities Using Guided Model Checking
ICLP '08 Proceedings of the 24th International Conference on Logic Programming
Towards Automatic Stability Analysis for Rely-Guarantee Proofs
VMCAI '09 Proceedings of the 10th International Conference on Verification, Model Checking, and Abstract Interpretation
Models of Interaction as a Grounding for Peer to Peer Knowledge Sharing
Advances in Web Semantics I
Considering Context and Users in Interactive Systems Analysis
Engineering Interactive Systems
Transactions on High-Performance Embedded Architectures and Compilers I
An Argumentative Approach for Modelling Coalitions Using ATL
Argumentation in Multi-Agent Systems
Formal Verification Based on Guided Random Walks
IFM '09 Proceedings of the 7th International Conference on Integrated Formal Methods
Partial Order Reduction for State/Event LTL
IFM '09 Proceedings of the 7th International Conference on Integrated Formal Methods
Analysing Biochemical Oscillation through Probabilistic Model Checking
Electronic Notes in Theoretical Computer Science (ENTCS)
A succinct memory model for automated design debugging
Proceedings of the 2008 IEEE/ACM International Conference on Computer-Aided Design
Proceedings of the 2009 Asia and South Pacific Design Automation Conference
Java memory model aware software validation
Proceedings of the 8th ACM SIGPLAN-SIGSOFT workshop on Program analysis for software tools and engineering
Towards the automated verification of semi-structured documents
Data & Knowledge Engineering
Formal verification of human-robot teamwork
Proceedings of the 4th ACM/IEEE international conference on Human robot interaction
A formalism for reasoning about UML activity diagrams
Nordic Journal of Computing
VerICS 2007 - a Model Checker for Knowledge and Real-Time
Fundamenta Informaticae - Concurrency Specification and Programming (CS&P)
SAT-based Unbounded Model Checking of Timed Automata
Fundamenta Informaticae - Concurrency Specification and Programming (CS&P)
Improving the Translation from ECTL to SAT
Fundamenta Informaticae - Concurrency Specification and Programming (CS&P)
Towards Verification of Java Programs in perICS
Fundamenta Informaticae - Concurrency Specification and Programming (CS&P)
Architecture for modeling, simulation, and execution of PLC based manufacturing system
Proceedings of the 40th Conference on Winter Simulation
Model checking nash equilibria in MAD distributed systems
Proceedings of the 2008 International Conference on Formal Methods in Computer-Aided Design
A theory of mutations with applications to vacuity, coverage, and fault tolerance
Proceedings of the 2008 International Conference on Formal Methods in Computer-Aided Design
Studying Irreversible Transitions in a Model of Cell Cycle Regulation
Electronic Notes in Theoretical Computer Science (ENTCS)
Comparison of Maude and SAL by Conducting Case Studies Model Checking a Distributed Algorithm
IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences
On Reachability Analysis of Multi Agent Nets
IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences
Mathematics and Computers in Simulation
CMC-UMC: a framework for the verification of abstract service-oriented properties
Proceedings of the 2009 ACM symposium on Applied Computing
Temporal Verification of Fault-Tolerant Protocols
Methods, Models and Tools for Fault Tolerance
PRISM: probabilistic model checking for performance and reliability analysis
ACM SIGMETRICS Performance Evaluation Review
Advanced features in SMART: the stochastic model checking analyzer for reliability and timing
ACM SIGMETRICS Performance Evaluation Review
Quantified epistemic logics for reasoning about knowledge in multi-agent systems
Artificial Intelligence
Contradictory antecedent debugging in bounded model checking
Proceedings of the 19th ACM Great Lakes symposium on VLSI
Spatial and temporal design debug using partial MaxSAT
Proceedings of the 19th ACM Great Lakes symposium on VLSI
Does My Service Have Partners?
Transactions on Petri Nets and Other Models of Concurrency II
Synthesis from Component Libraries
FOSSACS '09 Proceedings of the 12th International Conference on Foundations of Software Science and Computational Structures: Held as Part of the Joint European Conferences on Theory and Practice of Software, ETAPS 2009
Learning Minimal Separating DFA's for Compositional Verification
TACAS '09 Proceedings of the 15th International Conference on Tools and Algorithms for the Construction and Analysis of Systems: Held as Part of the Joint European Conferences on Theory and Practice of Software, ETAPS 2009,
Inferring Synchronization under Limited Observability
TACAS '09 Proceedings of the 15th International Conference on Tools and Algorithms for the Construction and Analysis of Systems: Held as Part of the Joint European Conferences on Theory and Practice of Software, ETAPS 2009,
Hierarchical Adaptive State Space Caching Based on Level Sampling
TACAS '09 Proceedings of the 15th International Conference on Tools and Algorithms for the Construction and Analysis of Systems: Held as Part of the Joint European Conferences on Theory and Practice of Software, ETAPS 2009,
Static Analysis Techniques for Parameterised Boolean Equation Systems
TACAS '09 Proceedings of the 15th International Conference on Tools and Algorithms for the Construction and Analysis of Systems: Held as Part of the Joint European Conferences on Theory and Practice of Software, ETAPS 2009,
Falsification of LTL Safety Properties in Hybrid Systems
TACAS '09 Proceedings of the 15th International Conference on Tools and Algorithms for the Construction and Analysis of Systems: Held as Part of the Joint European Conferences on Theory and Practice of Software, ETAPS 2009,
Tisa: A Language Design and Modular Verification Technique for Temporal Policies in Web Services
ESOP '09 Proceedings of the 18th European Symposium on Programming Languages and Systems: Held as Part of the Joint European Conferences on Theory and Practice of Software, ETAPS 2009
Toward practical analysis for trust management policy
Proceedings of the 4th International Symposium on Information, Computer, and Communications Security
Matching Trace Patterns with Regular Policies
LATA '09 Proceedings of the 3rd International Conference on Language and Automata Theory and Applications
Boolean satisfiability from theoretical hardness to practical success
Communications of the ACM - A Blind Person's Interaction with Technology
Partitioned PLTL model-checking for refined transition systems
Information and Computation
Model Checking Dynamic Memory Allocation in Operating Systems
Journal of Automated Reasoning
Program verification using templates over predicate abstraction
Proceedings of the 2009 ACM SIGPLAN conference on Programming language design and implementation
Functional test generation using design and property decomposition techniques
ACM Transactions on Embedded Computing Systems (TECS)
Symbolic model checking for channel-based component connectors
Science of Computer Programming
From datalog rules to efficient programs with time and space guarantees
ACM Transactions on Programming Languages and Systems (TOPLAS)
MMV: a metamodeling based microprocessor validation environment
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
A refinement-based compositional reasoning framework for pipelined machine verification
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Validating power architecture™ technology-based MPSoCs through executable specifications
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Verification Techniques for System-Level Design
Verification Techniques for System-Level Design
Proceedings of the Working Conference on Advanced Visual Interfaces
A TLA+ Formal Specification and Verification of a New Real-Time Communication Protocol
Electronic Notes in Theoretical Computer Science (ENTCS)
Implementing and analyzing in Maude the Enhanced Interior Gateway Routing Protocol
Electronic Notes in Theoretical Computer Science (ENTCS)
Combining fault injection and model checking to verify fault tolerance in multi-agent systems
Proceedings of The 8th International Conference on Autonomous Agents and Multiagent Systems - Volume 1
Leveraging complexity in software for cybersecurity
Proceedings of the 5th Annual Workshop on Cyber Security and Information Intelligence Research: Cyber Security and Information Intelligence Challenges and Strategies
Parameter Synthesis in Nonlinear Dynamical Systems: Application to Systems Biology
RECOMB 2'09 Proceedings of the 13th Annual International Conference on Research in Computational Molecular Biology
Towards an Agent Based Approach for Verification of OWL-S Process Models
ESWC 2009 Heraklion Proceedings of the 6th European Semantic Web Conference on The Semantic Web: Research and Applications
Minimize aspect interaction re-evaluation due to software evolution
Proceedings of the Workshop on AOP and Meta-Data for Software Evolution
Maintaining Generalized Arc Consistency on Ad-hoc n-ary Boolean Constraints
Proceedings of the 2006 conference on ECAI 2006: 17th European Conference on Artificial Intelligence August 29 -- September 1, 2006, Riva del Garda, Italy
Verifying Interlevel Relations within Multi-Agent Systems
Proceedings of the 2006 conference on ECAI 2006: 17th European Conference on Artificial Intelligence August 29 -- September 1, 2006, Riva del Garda, Italy
Temporal Logic Patterns for Querying Qualitative Models of Genetic Regulatory Networks
Proceedings of the 2008 conference on ECAI 2008: 18th European Conference on Artificial Intelligence
Modular Verification of Strongly Invasive Aspects
Languages: From Formal to Natural
Service Interaction: Patterns, Formalization, and Analysis
Formal Methods for Web Services
Agent Verification Design of Short Text Messaging System Using Formal Method
KES-AMSTA '09 Proceedings of the Third KES International Symposium on Agent and Multi-Agent Systems: Technologies and Applications
HS-ROBDD: an efficient variable order binary decision diagram
Proceedings of the 11th Annual Conference Companion on Genetic and Evolutionary Computation Conference: Late Breaking Papers
A bisimulation-based approach to the analysis of human-computer interaction
Proceedings of the 1st ACM SIGCHI symposium on Engineering interactive computing systems
Invariant-driven specifications in Maude
Science of Computer Programming
A formal analysis of requirements-based testing
Proceedings of the eighteenth international symposium on Software testing and analysis
Data-Flow Anti-patterns: Discovering Data-Flow Errors in Workflows
CAiSE '09 Proceedings of the 21st International Conference on Advanced Information Systems Engineering
Evaluating Fuzzy Controller Robustness Using Model Checking
WILF '09 Proceedings of the 8th International Workshop on Fuzzy Logic and Applications
Workcraft --- A Framework for Interpreted Graph Models
PETRI NETS '09 Proceedings of the 30th International Conference on Applications and Theory of Petri Nets
Explaining Counterexamples Using Causality
CAV '09 Proceedings of the 21st International Conference on Computer Aided Verification
Fast, All-Purpose State Storage
Proceedings of the 16th International SPIN Workshop on Model Checking Software
Reduction of Verification Conditions for Concurrent System Using Mutually Atomic Transactions
Proceedings of the 16th International SPIN Workshop on Model Checking Software
Subsumer-First: Steering Symbolic Reachability Analysis
Proceedings of the 16th International SPIN Workshop on Model Checking Software
Towards Verifying Correctness of Wireless Sensor Network Applications Using Insense and Spin
Proceedings of the 16th International SPIN Workshop on Model Checking Software
Finding Errors of Hybrid Systems by Optimising an Abstraction-Based Quality Estimate
TAP '09 Proceedings of the 3rd International Conference on Tests and Proofs
A Translator of Java Programs to TADDs
Fundamenta Informaticae - Concurrency Specification and Programming (CS&P)
GenLM: License Management for Grid and Cloud Computing Environments
CCGRID '09 Proceedings of the 2009 9th IEEE/ACM International Symposium on Cluster Computing and the Grid
A technological infrastructure design for a pediatric oncology network
Proceedings of the 2nd International Conference on PErvasive Technologies Related to Assistive Environments
Automated deduction for verification
ACM Computing Surveys (CSUR)
Model checking: algorithmic verification and debugging
Communications of the ACM - Scratch Programming for All
A Tool for Generating a Symbolic Representation of tccp Executions
Electronic Notes in Theoretical Computer Science (ENTCS)
Probabilistic environments in the quantitative analysis of (non-probabilistic) behaviour models
Proceedings of the the 7th joint meeting of the European software engineering conference and the ACM SIGSOFT symposium on The foundations of software engineering
Automatic steering of behavioral model inference
Proceedings of the the 7th joint meeting of the European software engineering conference and the ACM SIGSOFT symposium on The foundations of software engineering
An effective framework for assume-guarantee verification of evolving component-based software
Proceedings of the joint international and annual ERCIM workshops on Principles of software evolution (IWPSE) and software evolution (Evol) workshops
Reasoning about discrete event sources
AAAI'06 Proceedings of the 21st national conference on Artificial intelligence - Volume 1
Improved bounded model checking for the universal fragment of CTL
Journal of Computer Science and Technology
Dependent type inference with interpolants
PPDP '09 Proceedings of the 11th ACM SIGPLAN conference on Principles and practice of declarative programming
Electronic Notes in Theoretical Computer Science (ENTCS)
Compositional Failure-based Equivalence of Constraint Automata
Electronic Notes in Theoretical Computer Science (ENTCS)
A Semantic Condition for Data Independence and Applications in Hardware Verification
Electronic Notes in Theoretical Computer Science (ENTCS)
Automated Verification of Signalling Principles in Railway Interlocking Systems
Electronic Notes in Theoretical Computer Science (ENTCS)
Electronic Notes in Theoretical Computer Science (ENTCS)
Information and Computation
On-the-fly TCTL model checking for time Petri nets
Theoretical Computer Science
Robustness of temporal logic specifications for continuous-time signals
Theoretical Computer Science
Strict Divergence for Probabilistic Timed Automata
CONCUR 2009 Proceedings of the 20th International Conference on Concurrency Theory
FORMATS '09 Proceedings of the 7th International Conference on Formal Modeling and Analysis of Timed Systems
Checking Timed Büchi Automata Emptiness Using LU-Abstractions
FORMATS '09 Proceedings of the 7th International Conference on Formal Modeling and Analysis of Timed Systems
A design framework for metaheuristics
Artificial Intelligence Review
Branching-Time Logics Repeatedly Referring to States
Journal of Logic, Language and Information
Computational Logic in Multi-Agent Systems
Optimizing Pointer Analysis Using Bisimilarity
SAS '09 Proceedings of the 16th International Symposium on Static Analysis
Static Analysis of Concurrent Programs Using Ordinary Differential Equations
ICTAC '09 Proceedings of the 6th International Colloquium on Theoretical Aspects of Computing
Automatic Conflict Detection on Contracts
ICTAC '09 Proceedings of the 6th International Colloquium on Theoretical Aspects of Computing
A Minimized Assumption Generation Method for Component-Based Software Verification
ICTAC '09 Proceedings of the 6th International Colloquium on Theoretical Aspects of Computing
CMSB '09 Proceedings of the 7th International Conference on Computational Methods in Systems Biology
A Bayesian Approach to Model Checking Biological Systems
CMSB '09 Proceedings of the 7th International Conference on Computational Methods in Systems Biology
Empirical Software Engineering
An Improvement of Software Architecture Verification
Electronic Notes in Theoretical Computer Science (ENTCS)
On the Specification of Full Contracts
Electronic Notes in Theoretical Computer Science (ENTCS)
Model-based Runtime Verification Framework
Electronic Notes in Theoretical Computer Science (ENTCS)
OBDD-based universal planning for synchronized agents in non-deterministic domains
Journal of Artificial Intelligence Research
Taming numbers and durations in the model checking integrated planning system
Journal of Artificial Intelligence Research
Engineering benchmarks for planning: the domains used in the deterministic part of IPC-4
Journal of Artificial Intelligence Research
CTL model update for system modifications
Journal of Artificial Intelligence Research
Learning partially observable deterministic action models
Journal of Artificial Intelligence Research
AND/OR multi-valued decision diagrams (AOMDDs) for graphical models
Journal of Artificial Intelligence Research
IJCAI'07 Proceedings of the 20th international joint conference on Artifical intelligence
Automatic verification of knowledge and time with NuSMV
IJCAI'07 Proceedings of the 20th international joint conference on Artifical intelligence
Runtime monitoring of cross-cutting policy
EA '09 Proceedings of the 2009 ICSE Workshop on Aspect-Oriented Requirements Engineering and Architecture Design
Metamorphosis: the Coming Transformation of Translational Systems Biology
Queue - Bioscience
Parallel and Distributed Invariant Checking of Microcontroller Software
Electronic Notes in Theoretical Computer Science (ENTCS)
Interprocedural Pointer Analysis in Goanna
Electronic Notes in Theoretical Computer Science (ENTCS)
Modular Checking with Model Checking
Electronic Notes in Theoretical Computer Science (ENTCS)
Formal verification of diagnosability via symbolic model checking
IJCAI'03 Proceedings of the 18th international joint conference on Artificial intelligence
Automated verification: graphs, logic, and automata
IJCAI'03 Proceedings of the 18th international joint conference on Artificial intelligence
Stack bounds analysis for microcontroller assembly code
WESS '09 Proceedings of the 4th Workshop on Embedded Systems Security
Some resources for teaching concurrency
Proceedings of the 7th Workshop on Parallel and Distributed Systems: Testing, Analysis, and Debugging
IJCAI'05 Proceedings of the 19th international joint conference on Artificial intelligence
Automated Verification of Multi-Agent Programs
ASE '08 Proceedings of the 2008 23rd IEEE/ACM International Conference on Automated Software Engineering
An Assume Guarantee Verification Methodology for Aspect-Oriented Programming
ASE '08 Proceedings of the 2008 23rd IEEE/ACM International Conference on Automated Software Engineering
A passive testing approach based on invariants: application to the WAP
Computer Networks: The International Journal of Computer and Telecommunications Networking
Formal modeling and verification of shop floor controller logics
AsiaMS '07 Proceedings of the IASTED Asian Conference on Modelling and Simulation
An efficient simulation algorithm based on abstract interpretation
Information and Computation
Toward the formal verification of a unification system
IEEE Transactions on Systems, Man, and Cybernetics, Part B: Cybernetics
Toward the formal verification of a unification system
IEEE Transactions on Systems, Man, and Cybernetics, Part B: Cybernetics - Special issue on cybernetics and cognitive informatics
Closed-loop modeling in future automation system engineering and validation
IEEE Transactions on Systems, Man, and Cybernetics, Part C: Applications and Reviews
Declarative specification and verification of service choreographiess
ACM Transactions on the Web (TWEB)
A Formal Verification Approach of Conversations in Composite Web Services Using NuSMV
Proceedings of the 2009 conference on New Trends in Software Methodologies, Tools and Techniques: Proceedings of the Eighth SoMeT_09
A Practical Approach to Verification of Mobile Systems Using Net Unfoldings
Fundamenta Informaticae - Petri Nets 2008
A relational approach to interprocedural shape analysis
ACM Transactions on Programming Languages and Systems (TOPLAS)
CASE'09 Proceedings of the fifth annual IEEE international conference on Automation science and engineering
Groebner bases computation in Boolean rings for symbolic model checking
MS '07 The 18th IASTED International Conference on Modelling and Simulation
Automated Encapsulation of UML Activities for Incremental Development and Verification
MODELS '09 Proceedings of the 12th International Conference on Model Driven Engineering Languages and Systems
Exploring the Scope for Partial Order Reduction
ATVA '09 Proceedings of the 7th International Symposium on Automated Technology for Verification and Analysis
Quantitative Analysis under Fairness Constraints
ATVA '09 Proceedings of the 7th International Symposium on Automated Technology for Verification and Analysis
A Framework for Compositional Verification of Multi-valued Systems via Abstraction-Refinement
ATVA '09 Proceedings of the 7th International Symposium on Automated Technology for Verification and Analysis
Tableaux and Model Checking for Memory Logics
TABLEAUX '09 Proceedings of the 18th International Conference on Automated Reasoning with Analytic Tableaux and Related Methods
On Teaching Formal Methods: Behavior Models and Code Analysis
TFM '09 Proceedings of the 2nd International Conference on Teaching Formal Methods
Teaching Concurrency: Theory in Practice
TFM '09 Proceedings of the 2nd International Conference on Teaching Formal Methods
Dynamic State Space Partitioning for External Memory Model Checking
FMICS '09 Proceedings of the 14th International Workshop on Formal Methods for Industrial Critical Systems
Three-Valued Spotlight Abstractions
FM '09 Proceedings of the 2nd World Congress on Formal Methods
Reduced Execution Semantics of MPI: From Theory to Practice
FM '09 Proceedings of the 2nd World Congress on Formal Methods
Model Checking Coalition Nash Equilibria in MAD Distributed Systems
SSS '09 Proceedings of the 11th International Symposium on Stabilization, Safety, and Security of Distributed Systems
On-Line Model Checking as Operating System Service
SEUS '09 Proceedings of the 7th IFIP WG 10.2 International Workshop on Software Technologies for Embedded and Ubiquitous Systems
Exploring the Design Space for Network Protocol Stacks on Special-Purpose Embedded Systems
SEUS '09 Proceedings of the 7th IFIP WG 10.2 International Workshop on Software Technologies for Embedded and Ubiquitous Systems
Model Checking Games for a Fair Branching-Time Temporal Epistemic Logic
AI '09 Proceedings of the 22nd Australasian Joint Conference on Advances in Artificial Intelligence
Bounded Semantics of CTL and SAT-Based Verification
ICFEM '09 Proceedings of the 11th International Conference on Formal Engineering Methods: Formal Methods and Software Engineering
Specification, Verification and Explanation of Violation for Data Aware Compliance Rules
ICSOC-ServiceWave '09 Proceedings of the 7th International Joint Conference on Service-Oriented Computing
SOFSEM '10 Proceedings of the 36th Conference on Current Trends in Theory and Practice of Computer Science
Dynamic optimal control of multiple depot vehicle routing problem with metric temporal logic
ACC'09 Proceedings of the 2009 conference on American Control Conference
MTL robust testing and verification for LPV systems
ACC'09 Proceedings of the 2009 conference on American Control Conference
Automatic deployment of autonomous cars in a robotic urban-like environment (rule)
ICRA'09 Proceedings of the 2009 IEEE international conference on Robotics and Automation
Finite-state verification of the ebXML protocol
Electronic Commerce Research and Applications
Inference of Timed Transition Systems
Electronic Notes in Theoretical Computer Science (ENTCS)
Towards A Game Theoretic Understanding of Ad-Hoc Routing
Electronic Notes in Theoretical Computer Science (ENTCS)
Bounded Model Checking for Deontic Interpreted Systems
Electronic Notes in Theoretical Computer Science (ENTCS)
SAT-based Induction for Temporal Safety Properties
Electronic Notes in Theoretical Computer Science (ENTCS)
Enforcing Concurrent Temporal Behaviors
Electronic Notes in Theoretical Computer Science (ENTCS)
Runtime Verification of Concurrent Haskell Programs
Electronic Notes in Theoretical Computer Science (ENTCS)
Testing from Structured Algebraic Specifications: The Veritas Case Study
Electronic Notes in Theoretical Computer Science (ENTCS)
Explicit-Symbolic Modelling for Formal Verification
Electronic Notes in Theoretical Computer Science (ENTCS)
Automatic Verification of Safety Rules for a Subway Control Software
Electronic Notes in Theoretical Computer Science (ENTCS)
An Input/Output Semantics for Distributed Program Equivalence Reasoning
Electronic Notes in Theoretical Computer Science (ENTCS)
Quantitative μ-calculus and CTL Based on Constraint Semirings
Electronic Notes in Theoretical Computer Science (ENTCS)
Adaptive Verification using Forced Simulation
Electronic Notes in Theoretical Computer Science (ENTCS)
Electronic Notes in Theoretical Computer Science (ENTCS)
Electronic Notes in Theoretical Computer Science (ENTCS)
Finding Symmetry in Models of Concurrent Systems by Static Channel Diagram Analysis
Electronic Notes in Theoretical Computer Science (ENTCS)
Formal Specification and Verification of Multi-Agent Systems
Electronic Notes in Theoretical Computer Science (ENTCS)
From Distributed Memory Cycle Detection to Parallel LTL Model Checking
Electronic Notes in Theoretical Computer Science (ENTCS)
Model Checking Flight Guidance Systems: from Synchrony to Asynchrony
Electronic Notes in Theoretical Computer Science (ENTCS)
Sequential verification of serializability
Proceedings of the 37th annual ACM SIGPLAN-SIGACT symposium on Principles of programming languages
Abstraction-guided synthesis of synchronization
Proceedings of the 37th annual ACM SIGPLAN-SIGACT symposium on Principles of programming languages
Programming with angelic nondeterminism
Proceedings of the 37th annual ACM SIGPLAN-SIGACT symposium on Principles of programming languages
Computing Over-Approximations with Bounded Model Checking
Electronic Notes in Theoretical Computer Science (ENTCS)
Model-based Runtime Verification Framework for Self-optimizing Systems
Electronic Notes in Theoretical Computer Science (ENTCS)
Liveness Checking as Safety Checking for Infinite State Spaces
Electronic Notes in Theoretical Computer Science (ENTCS)
Action Planning for Directed Model Checking of Petri Nets
Electronic Notes in Theoretical Computer Science (ENTCS)
Relay Reachability Algorithm for Exploring Huge State Space
Electronic Notes in Theoretical Computer Science (ENTCS)
Language-Emptiness Checking of Alternating Tree Automata Using Symbolic Reachability Analysis
Electronic Notes in Theoretical Computer Science (ENTCS)
A Grainless Semantics for Parallel Programs with Shared Mutable Data
Electronic Notes in Theoretical Computer Science (ENTCS)
Distributed Symbolic Bounded Property Checking
Electronic Notes in Theoretical Computer Science (ENTCS)
Electronic Notes in Theoretical Computer Science (ENTCS)
Symbolic Reasoning with Weighted and Normalized Decision Diagrams
Electronic Notes in Theoretical Computer Science (ENTCS)
A Model Checking-based Method for Verifying Web Application Design
Electronic Notes in Theoretical Computer Science (ENTCS)
Electronic Notes in Theoretical Computer Science (ENTCS)
Model Checking Temporal Aspects of Inconsistent Concurrent Systems Based on Paraconsistent Logic
Electronic Notes in Theoretical Computer Science (ENTCS)
WAVer: A Model Checking-based Tool to Verify Web Application Design
Electronic Notes in Theoretical Computer Science (ENTCS)
Parallel Assignments in Software Model Checking
Electronic Notes in Theoretical Computer Science (ENTCS)
XRT-- Exploring Runtime for .NET Architecture and Applications
Electronic Notes in Theoretical Computer Science (ENTCS)
Reasoning About Connector Reconfiguration II: Basic Reconfiguration Logic
Electronic Notes in Theoretical Computer Science (ENTCS)
Electronic Notes in Theoretical Computer Science (ENTCS)
Verification of AMBA Using a Combination of Model Checking and Theorem Proving
Electronic Notes in Theoretical Computer Science (ENTCS)
Modeling Web Applications by the Multiple Levels of Integrity Policy
Electronic Notes in Theoretical Computer Science (ENTCS)
Mechanising first-order temporal resolution
Information and Computation - Special issue: 19th international conference on automated deduction (CADE-19)
A theory of stochastic systems part I: Stochastic automata
Information and Computation
Applicability of fair simulation
Information and Computation
Incompleteness of states w.r.t. traces in model checking
Information and Computation
Standards and verification for fair-exchange and atomicity in e-commerce transactions
Information Sciences: an International Journal
Action Language verifier: an infinite-state model checker for reactive software specifications
Formal Methods in System Design
A minimalistic look at widening operators
Higher-Order and Symbolic Computation
MEMOCODE'09 Proceedings of the 7th IEEE/ACM international conference on Formal Methods and Models for Codesign
Automated design debugging with abstraction and refinement
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
Model checking of software for microcontrollers
ACM Transactions on Embedded Computing Systems (TECS)
Reasoning about online algorithms with weighted automata
ACM Transactions on Algorithms (TALG)
Simulation based control program verification in an automobile industry
MIC '08 Proceedings of the 27th IASTED International Conference on Modelling, Identification and Control
Data constraints for validation of real-time software
SE '08 Proceedings of the IASTED International Conference on Software Engineering
Model Checking for a Class of Weighted Automata
Discrete Event Dynamic Systems
Fundamenta Informaticae - Advances in Computational Logic (CIL C08)
Model checking the SET purchasing process protocol with SPIN
WiCOM'09 Proceedings of the 5th International Conference on Wireless communications, networking and mobile computing
Real-Time Embedded Software Design for Mobile and Ubiquitous Systems
Journal of Signal Processing Systems
Verifying safety properties of concurrent heap-manipulating programs
ACM Transactions on Programming Languages and Systems (TOPLAS)
Efficient symbolic state-space construction for asynchronous systems
ICATPN'00 Proceedings of the 21st international conference on Application and theory of petri nets
Succinct discrete time approximations of distributed hybrid automata
Proceedings of the 13th ACM international conference on Hybrid systems: computation and control
Compositional analysis for linear control systems
Proceedings of the 13th ACM international conference on Hybrid systems: computation and control
Low-level programming in Hume: an exploration of the HW-Hume level
IFL'06 Proceedings of the 18th international conference on Implementation and application of functional languages
On combining partial order reduction with fairness assumptions
FMICS'06/PDMC'06 Proceedings of the 11th international workshop, FMICS 2006 and 5th international workshop, PDMC conference on Formal methods: Applications and technology
Parallel algorithms for finding SCCs in implicitly given graphs
FMICS'06/PDMC'06 Proceedings of the 11th international workshop, FMICS 2006 and 5th international workshop, PDMC conference on Formal methods: Applications and technology
Can saturation be parallelised?: on the parallelisation of a symbolic state-space generator
FMICS'06/PDMC'06 Proceedings of the 11th international workshop, FMICS 2006 and 5th international workshop, PDMC conference on Formal methods: Applications and technology
Representing and verifying temporal epistemic properties in multi-agent systems
CLIMA VII'06 Proceedings of the 7th international conference on Computational logic in multi-agent systems
Oracle circuits for branching-time model checking
ICALP'03 Proceedings of the 30th international conference on Automata, languages and programming
On the application of clustering techniques to support debugging large-scale multi-agent systems
ProMAS'06 Proceedings of the 4th international conference on Programming multi-agent systems
Enriched µ-calculi module checking
FOSSACS'07 Proceedings of the 10th international conference on Foundations of software science and computational structures
Compositional theories of qualitative and quantitative behaviour
ICATPN'03 Proceedings of the 24th international conference on Applications and theory of Petri nets
Symbolic synthesis of finite-state controllers for request-response specifications
CIAA'03 Proceedings of the 8th international conference on Implementation and application of automata
CIAA'03 Proceedings of the 8th international conference on Implementation and application of automata
XML schema containment checking based on semi-implicit techniques
CIAA'03 Proceedings of the 8th international conference on Implementation and application of automata
Abstract interpretation of programs as Markov decision processes
SAS'03 Proceedings of the 10th international conference on Static analysis
Typestate verification: abstraction techniques and complexity results
SAS'03 Proceedings of the 10th international conference on Static analysis
Basic protocols: pecification language for distributed systems
PSI'06 Proceedings of the 6th international Andrei Ershov memorial conference on Perspectives of systems informatics
Well-structured model checking of multiagent systems
PSI'06 Proceedings of the 6th international Andrei Ershov memorial conference on Perspectives of systems informatics
Model checking genetic regulatory networks with parameter uncertainty
HSCC'07 Proceedings of the 10th international conference on Hybrid systems: computation and control
Reachability for linear hybrid automata using iterative relaxation abstraction
HSCC'07 Proceedings of the 10th international conference on Hybrid systems: computation and control
The image computation problem in hybrid systems model checking
HSCC'07 Proceedings of the 10th international conference on Hybrid systems: computation and control
Approximate simulation relations and finite abstractions of quantized control systems
HSCC'07 Proceedings of the 10th international conference on Hybrid systems: computation and control
Security in business process engineering
BPM'03 Proceedings of the 2003 international conference on Business process management
CSCWD'06 Proceedings of the 10th international conference on Computer supported cooperative work in design III
On a semantic definition of data independence
TLCA'03 Proceedings of the 6th international conference on Typed lambda calculi and applications
Model checking of UML 2.0 interactions
MoDELS'06 Proceedings of the 2006 international conference on Models in software engineering
Automata-theoretic model checking revisited
VMCAI'07 Proceedings of the 8th international conference on Verification, model checking, and abstract interpretation
The constraint database approach to software verification
VMCAI'07 Proceedings of the 8th international conference on Verification, model checking, and abstract interpretation
ExpliSAT: Guiding SAT-based software verification with explicit states
HVC'06 Proceedings of the 2nd international Haifa verification conference on Hardware and software, verification and testing
Model checking probabilistic timed automata with one or two clocks
TACAS'07 Proceedings of the 13th international conference on Tools and algorithms for the construction and analysis of systems
MAVEN: modular aspect verification
TACAS'07 Proceedings of the 13th international conference on Tools and algorithms for the construction and analysis of systems
Model checking liveness properties of genetic regulatory networks
TACAS'07 Proceedings of the 13th international conference on Tools and algorithms for the construction and analysis of systems
GOAL: a graphical tool for manipulating Büchi automata and temporal formulae
TACAS'07 Proceedings of the 13th international conference on Tools and algorithms for the construction and analysis of systems
Bounded reachability checking of asynchronous systems using decision diagrams
TACAS'07 Proceedings of the 13th international conference on Tools and algorithms for the construction and analysis of systems
Genetic programming with fitness based on model checking
EuroGP'07 Proceedings of the 10th European conference on Genetic programming
A timing analysis model for ontology evolutions based on distributed environments
PAKDD'07 Proceedings of the 11th Pacific-Asia conference on Advances in knowledge discovery and data mining
From entities and relationships to social actors and dependencies
ER'00 Proceedings of the 19th international conference on Conceptual modeling
Techniques for abstracting SDL specifications
SAM'02 Proceedings of the 3rd international conference on Telecommunications and beyond: the broader applicability of SDL and MSC
Verifying temporal heap properties specified via evolution logic
ESOP'03 Proceedings of the 12th European conference on Programming
ESOP'03 Proceedings of the 12th European conference on Programming
On the universal and existential fragments of the µ-calculus
TACAS'03 Proceedings of the 9th international conference on Tools and algorithms for the construction and analysis of systems
TACAS'03 Proceedings of the 9th international conference on Tools and algorithms for the construction and analysis of systems
Multiple-counterexample guided iterative abstraction refinement: an industrial evaluation
TACAS'03 Proceedings of the 9th international conference on Tools and algorithms for the construction and analysis of systems
Verification of hybrid systems based on counterexample-guided abstraction refinement
TACAS'03 Proceedings of the 9th international conference on Tools and algorithms for the construction and analysis of systems
Static guard analysis in timed automata verification
TACAS'03 Proceedings of the 9th international conference on Tools and algorithms for the construction and analysis of systems
Learning assumptions for compositional verification
TACAS'03 Proceedings of the 9th international conference on Tools and algorithms for the construction and analysis of systems
Branching processes of high-level Petri nets
TACAS'03 Proceedings of the 9th international conference on Tools and algorithms for the construction and analysis of systems
Optimistic synchronization-based state-space reduction
TACAS'03 Proceedings of the 9th international conference on Tools and algorithms for the construction and analysis of systems
Distributed explicit fair cycle detection: set based approach
SPIN'03 Proceedings of the 10th international conference on Model checking software
SPIN'03 Proceedings of the 10th international conference on Model checking software
Query-based verification of qualitative trends and oscillations in biochemical systems
Theoretical Computer Science
Model checking LTL over controllable linear systems is decidable
HSCC'03 Proceedings of the 6th international conference on Hybrid systems: computation and control
A short survey of automated reasoning
AB'07 Proceedings of the 2nd international conference on Algebraic biology
DLT'07 Proceedings of the 11th international conference on Developments in language theory
Parallelising symbolic state-space generators
CAV'07 Proceedings of the 19th international conference on Computer aided verification
I/O efficient accepting cycle detection
CAV'07 Proceedings of the 19th international conference on Computer aided verification
Comparison under abstraction for verifying linearizability
CAV'07 Proceedings of the 19th international conference on Computer aided verification
Guiding the correction of parameterized specifications
IFM'07 Proceedings of the 6th international conference on Integrated formal methods
Finding state solutions to temporal logic queries
IFM'07 Proceedings of the 6th international conference on Integrated formal methods
Capturing conflict and confusion in CSP
IFM'07 Proceedings of the 6th international conference on Integrated formal methods
Decomposing integrated specifications for verification
IFM'07 Proceedings of the 6th international conference on Integrated formal methods
Some solutions to the ignoring problem
Proceedings of the 14th international SPIN conference on Model checking software
Cartesian partial-order reduction
Proceedings of the 14th international SPIN conference on Model checking software
Proceedings of the 14th international SPIN conference on Model checking software
Towards model checking spatial properties with SPIN
Proceedings of the 14th international SPIN conference on Model checking software
Automatic deployment of distributed teams of robots from temporal logic motion specifications
IEEE Transactions on Robotics
Distributed applications implemented in maude with parameterized skeletons
FMOODS'07 Proceedings of the 9th IFIP WG 6.1 international conference on Formal methods for open object-based distributed systems
Efficient methods for formally verifying safety properties of hierarchical cache coherence protocols
Formal Methods in System Design
Performability assessment by model checking of Markov reward models
Formal Methods in System Design
Time and alternation: an automata based framework to software model checking
Proceedings of the 2010 ACM Symposium on Applied Computing
Programming assistance based on contracts and modular verification in the automation domain
Proceedings of the 2010 ACM Symposium on Applied Computing
Automated analysis of compositional multi-agent systems
International Journal of Agent-Oriented Software Engineering
Implication-based approximating bounded model checking
FSEN'07 Proceedings of the 2007 international conference on Fundamentals of software engineering
Linear-time model checking: automata theory in practice
CIAA'07 Proceedings of the 12th international conference on Implementation and application of automata
From model-based design to formal verification of adaptive embedded systems
ICFEM'07 Proceedings of the formal engineering methods 9th international conference on Formal methods and software engineering
Reflection and preservation of properties in coalgebraic (bi)simulations
ICTAC'07 Proceedings of the 4th international conference on Theoretical aspects of computing
Rebeca: theory, applications, and tools
FMCO'06 Proceedings of the 5th international conference on Formal methods for components and objects
FMCO'06 Proceedings of the 5th international conference on Formal methods for components and objects
Current issues in multi-agent systems development
ESAW'06 Proceedings of the 7th international conference on Engineering societies in the agents world VII
Symbolic model checking temporal logics of knowledge in multi-agent system via extended Mu-calculus
LSMS'07 Proceedings of the Life system modeling and simulation 2007 international conference on Bio-Inspired computational intelligence and applications
Cross-platform verification framework for embedded systems
SEUS'07 Proceedings of the 5th IFIP WG 10.2 international conference on Software technologies for embedded and ubiquitous systems
Branching vs. linear time: semantical perspective
ATVA'07 Proceedings of the 5th international conference on Automated technology for verification and analysis
Efficient approximate verification of Promela models via symmetry markers
ATVA'07 Proceedings of the 5th international conference on Automated technology for verification and analysis
One-pass tableaux for computation tree logic
LPAR'07 Proceedings of the 14th international conference on Logic for programming, artificial intelligence and reasoning
Enriched µ-calculus pushdown module checking
LPAR'07 Proceedings of the 14th international conference on Logic for programming, artificial intelligence and reasoning
Formal verification of use case maps with real time extensions
SDL'07 Proceedings of the 13th international SDL Forum conference on Design for dependable systems
On the analysis of numerical data time series in temporal logic
CMSB'07 Proceedings of the 2007 international conference on Computational methods in systems biology
Real-time embedded software design for mobile and ubiquitous systems
EUC'07 Proceedings of the 2007 international conference on Embedded and ubiquitous computing
Efficient model checking of applications with input/output
EUROCAST'07 Proceedings of the 11th international conference on Computer aided systems theory
Querying structural and behavioral properties of business processes
DBPL'07 Proceedings of the 11th international conference on Database programming languages
Constraint-based workflow models: change made easy
OTM'07 Proceedings of the 2007 OTM Confederated international conference on On the move to meaningful internet systems: CoopIS, DOA, ODBASE, GADA, and IS - Volume Part I
Translation validation of system abstractions
RV'07 Proceedings of the 7th international conference on Runtime verification
Formal cell biology in biocham
SFM'08 Proceedings of the Formal methods for the design of computer, communication, and software systems 8th international conference on Formal methods for computational systems biology
Test case generation for ultimately periodic paths
HVC'07 Proceedings of the 3rd international Haifa verification conference on Hardware and software: verification and testing
Delayed nondeterminism in model checking embedded systems assembly code
HVC'07 Proceedings of the 3rd international Haifa verification conference on Hardware and software: verification and testing
A forward-backward abstraction refinement algorithm
VMCAI'08 Proceedings of the 9th international conference on Verification, model checking, and abstract interpretation
From model-checking to temporal logic constraint solving
CP'09 Proceedings of the 15th international conference on Principles and practice of constraint programming
Model checking norms and sanctions in institutions
COIN'07 Proceedings of the 2007 international conference on Coordination, organizations, institutions, and norms in agent systems III
On-the-fly techniques for game-based software model checking
TACAS'08/ETAPS'08 Proceedings of the Theory and practice of software, 14th international conference on Tools and algorithms for the construction and analysis of systems
TAP'08 Proceedings of the 2nd international conference on Tests and proofs
Stochastic games with lossy channels
FOSSACS'08/ETAPS'08 Proceedings of the Theory and practice of software, 11th international conference on Foundations of software science and computational structures
Towards faithful model extraction based on contexts
FASE'08/ETAPS'08 Proceedings of the Theory and practice of software, 11th international conference on Fundamental approaches to software engineering
Verification of higher-order computation: a game-semantic approach
ESOP'08/ETAPS'08 Proceedings of the Theory and practice of software, 17th European conference on Programming languages and systems
Application of static analyses for state space reduction to microcontroller assembly code
FMICS'07 Proceedings of the 12th international conference on Formal methods for industrial critical systems
An approach to formalization and analysis of message passing libraries
FMICS'07 Proceedings of the 12th international conference on Formal methods for industrial critical systems
BPM'07 Proceedings of the 2007 international conference on Business process management
Symbolic test generation using a temporal logic with constrained events
Formal methods and hybrid real-time systems
Model revision from temporal logic properties in computational systems biology
Probabilistic inductive logic programming
Methods to tackle state explosion problem in model checking
IITA'09 Proceedings of the 3rd international conference on Intelligent information technology application
The temporal logic of causal structures
UAI '09 Proceedings of the Twenty-Fifth Conference on Uncertainty in Artificial Intelligence
A reduced complexity design pattern for distributed hierarchical command and control system
Proceedings of the 1st ACM/IEEE International Conference on Cyber-Physical Systems
Efficient mining of recurrent rules from a sequence database
DASFAA'08 Proceedings of the 13th international conference on Database systems for advanced applications
On the aggregation problem for synthesized web services
Proceedings of the 13th International Conference on Database Theory
Information and Computation
Proceedings of the 32nd ACM/IEEE International Conference on Software Engineering - Volume 1
Runtime verification using a temporal description logic
FroCoS'09 Proceedings of the 7th international conference on Frontiers of combining systems
My model checker died!: how well did it do?
Proceedings of the 2010 ICSE Workshop on Quantitative Stochastic Models in the Verification and Design of Software Systems
All about maude - a high-performance logical framework: how to specify, program and verify systems in rewriting logic
Deadlock-freeness of hexagonal systolic arrays
Information Processing Letters
Proceedings of the 15th ACM symposium on Access control models and technologies
Formalization and validation of a subset of the European Train Control System
Proceedings of the 32nd ACM/IEEE International Conference on Software Engineering - Volume 2
Performance evaluation and model checking join forces
Communications of the ACM
CIRA'09 Proceedings of the 8th IEEE international conference on Computational intelligence in robotics and automation
On formal equivalence verification of hardware
CSR'08 Proceedings of the 3rd international conference on Computer science: theory and applications
Functional test generation using efficient property clustering and learning techniques
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
Pentagons: A weakly relational abstract domain for the efficient validation of array accesses
Science of Computer Programming
On the constructive orbit problem
Annals of Mathematics and Artificial Intelligence
Formal analysis of STM design with SAL infinite bounded model checker
ICACT'10 Proceedings of the 12th international conference on Advanced communication technology
Declarative workflows to efficiently manage flexible and advanced business processes
Proceedings of the 12th international ACM SIGPLAN symposium on Principles and practice of declarative programming
Analyzing k-step induction to compute invariants for SAT-based property checking
Proceedings of the 47th Design Automation Conference
Coverage in interpolation-based model checking
Proceedings of the 47th Design Automation Conference
A novel analysis space for pointer analysis and its application for bug finding
Science of Computer Programming
Agent composition synthesis based on ATL
Proceedings of the 9th International Conference on Autonomous Agents and Multiagent Systems: volume 1 - Volume 1
Proceedings of the 9th International Conference on Autonomous Agents and Multiagent Systems: volume 1 - Volume 1
Symbolic model checking for agent interactions
Proceedings of the 9th International Conference on Autonomous Agents and Multiagent Systems: volume 1 - Volume 1
Temperature- and energy-constrained scheduling in multitasking systems: a model checking approach
Proceedings of the 16th ACM/IEEE international symposium on Low power electronics and design
Generic Infinite Traces and Path-Based Coalgebraic Temporal Logics
Electronic Notes in Theoretical Computer Science (ENTCS)
Model-based verification of adaptive embedded systems under environment constraints
ACM SIGBED Review - Special Issue on the 2nd International Workshop on Adaptive and Reconfigurable Embedded Systems (APRES'09)
Formal analysis of design process dynamics
Artificial Intelligence for Engineering Design, Analysis and Manufacturing
The Knowledge Engineering Review
Transformations of logic programs on infinite lists
Theory and Practice of Logic Programming
A framework for the automatic synthesis of hybrid fuzzy/numerical controllers
Applied Soft Computing
Parallel Model Checking for Temporal Epistemic Logic
Proceedings of the 2010 conference on ECAI 2010: 19th European Conference on Artificial Intelligence
On the (Un-)Decidability of Model Checking Resource-Bounded Agents
Proceedings of the 2010 conference on ECAI 2010: 19th European Conference on Artificial Intelligence
On the Verification of Very Expressive Temporal Properties of Non-terminating Golog Programs
Proceedings of the 2010 conference on ECAI 2010: 19th European Conference on Artificial Intelligence
An exercise in iterative domain-specific language design
Proceedings of the Joint ERCIM Workshop on Software Evolution (EVOL) and International Workshop on Principles of Software Evolution (IWPSE)
Timed test generation based on timed temporal logic
ICAI'10 Proceedings of the 11th WSEAS international conference on Automation & information
Design and Verification of a Trustable Medical System
Electronic Notes in Theoretical Computer Science (ENTCS)
A platform for search-based testing of concurrent software
Proceedings of the 8th Workshop on Parallel and Distributed Systems: Testing, Analysis, and Debugging
Inference and analysis of formal models of botnet command and control protocols
Proceedings of the 17th ACM conference on Computer and communications security
Learning of event-recording automata
Theoretical Computer Science
Efficient modular glass box software model checking
Proceedings of the ACM international conference on Object oriented programming systems languages and applications
Vacuity analysis for property qualification by mutation of checkers
Proceedings of the Conference on Design, Automation and Test in Europe
Efficient decision ordering techniques for SAT-based test generation
Proceedings of the Conference on Design, Automation and Test in Europe
Towards assertion-based verification of heterogeneous system designs
Proceedings of the Conference on Design, Automation and Test in Europe
Comparing three coordination models: Reo, ARC, and PBRD
Science of Computer Programming
Property analysis and design understanding
Proceedings of the Conference on Design, Automation and Test in Europe
The tractability of model checking for LTL: The good, the bad, and the ugly fragments
ACM Transactions on Computational Logic (TOCL)
A formal approach for the development of reactive systems
Information and Software Technology
Journal of Systems Architecture: the EUROMICRO Journal
Component-based modeling and verification of dynamic adaptation in safety-critical embedded systems
ACM Transactions on Embedded Computing Systems (TECS)
The transformational approach to program development
A 25-year perspective on logic programming
Static analysis, abstract interpretation and verification in (constraint logic) programming
A 25-year perspective on logic programming
An automata-theoretic approach to infinite-state systems
Time for verification
Example-guided abstraction simplification
ICALP'10 Proceedings of the 37th international colloquium conference on Automata, languages and programming: Part II
How to implement a theory of correctness in the area of business processes and services
BPM'10 Proceedings of the 8th international conference on Business process management
Writing and using program specifications
Proceedings of the FSE/SDP workshop on Future of software engineering research
Language-based verification will change the world
Proceedings of the FSE/SDP workshop on Future of software engineering research
Entropy and software systems: towards an information-theoretic foundation of software testing
Proceedings of the FSE/SDP workshop on Future of software engineering research
Proceedings of the 1st ACM International Health Informatics Symposium
Process-based derivation of requirements for medical devices
Proceedings of the 1st ACM International Health Informatics Symposium
Bounded Parametric Verification for Distributed Time Petri Nets with Discrete-Time Semantics
Fundamenta Informaticae - Concurrency Specification and Programming (CS&P)
Fundamenta Informaticae - Concurrency Specification and Programming (CS&P)
ACM Computing Surveys (CSUR)
Modeling and verifying business interactions via commitments and dialogue actions
KES-AMSTA'10 Proceedings of the 4th KES international conference on Agent and multi-agent systems: technologies and applications, Part II
A formal language toward the unification of model checking and performance evaluation
ASMTA'10 Proceedings of the 17th international conference on Analytical and stochastic modeling techniques and applications
An optimised algorithm to tackle the model explosion problem in CTL model update
PRICAI'10 Proceedings of the 11th Pacific Rim international conference on Trends in artificial intelligence
Formal verification of probabilistic swarm behaviours
ANTS'10 Proceedings of the 7th international conference on Swarm intelligence
Application of static analyses for state-space reduction to the microcontroller binary code
Science of Computer Programming
A state/event-based model-checking approach for the analysis of abstract system properties
Science of Computer Programming
Flash memory efficient LTL model checking
Science of Computer Programming
Fundamenta Informaticae - RCRA 2008 Experimental Evaluation of Algorithms for Solving Problems with Combinatorial Explosion
Structural analysis of explicit fault-tolerant programs
HASE'04 Proceedings of the Eighth IEEE international conference on High assurance systems engineering
Specification test coverage adequacy criteria = specification test generation inadequacy criteria
HASE'04 Proceedings of the Eighth IEEE international conference on High assurance systems engineering
MAVEN: modular aspect verification and interference analysis
Formal Methods in System Design
UTP and temporal logic model checking
UTP'08 Proceedings of the 2nd international conference on Unifying theories of programming
Model checking agent programs by using the program interpreter
CLIMA'10 Proceedings of the 11th international conference on Computational logic in multi-agent systems
Local model update with an application to sliding window protocol
KES'10 Proceedings of the 14th international conference on Knowledge-based and intelligent information and engineering systems: Part IV
ICOST'10 Proceedings of the Aging friendly technology for health and independence, and 8th international conference on Smart homes and health telematics
Axiomatic semantics of projection temporal logic programs†
Mathematical Structures in Computer Science
Toward reliable and efficient message passing software through formal analysis
IPDPS'06 Proceedings of the 20th international conference on Parallel and distributed processing
Verification of software via integration of design and implementation
IPDPS'06 Proceedings of the 20th international conference on Parallel and distributed processing
A dynamic firing speculation to speedup distributed symbolic state-space generation
IPDPS'06 Proceedings of the 20th international conference on Parallel and distributed processing
Efficient approximate verification of B and Z models via symmetry markers
Annals of Mathematics and Artificial Intelligence
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
ST-Audit: guideline-based automatic auditing of electronic patient records
Journal of Intelligent Information Systems
Towards automatic update of access control policy
LISA'10 Proceedings of the 24th international conference on Large installation system administration
Making prophecies with decision predicates
Proceedings of the 38th annual ACM SIGPLAN-SIGACT symposium on Principles of programming languages
Satisfiability degree analysis for transition system
AICI'10 Proceedings of the 2010 international conference on Artificial intelligence and computational intelligence: Part I
Collecting semantics under predicate abstraction in the K framework
WRLA'10 Proceedings of the 8th international conference on Rewriting logic and its applications
Rewriting logic approach to modeling and analysis of client behavior in open systems
SEUS'10 Proceedings of the 8th IFIP WG 10.2 international conference on Software technologies for embedded and ubiquitous systems
Using model checking for analyzing distributed power control problems
EURASIP Journal on Wireless Communications and Networking
Counterexample-guided abstraction refinement for PLCs
SSV'10 Proceedings of the 5th international conference on Systems software verification
dBug: systematic evaluation of distributed systems
SSV'10 Proceedings of the 5th international conference on Systems software verification
A logical framework to deal with variability
IFM'10 Proceedings of the 8th international conference on Integrated formal methods
System design modification with actions
SBIA'10 Proceedings of the 20th Brazilian conference on Advances in artificial intelligence
Modal abstractions of concurrent behavior
ACM Transactions on Computational Logic (TOCL)
Exploring inconsistencies between modal transition systems
Software and Systems Modeling (SoSyM)
Design verification in model-based μ-controller development using an abstract component
Software and Systems Modeling (SoSyM)
Formal Methods in System Design
Mutation-based test case generation for simulink models
FMCO'09 Proceedings of the 8th international conference on Formal methods for components and objects
Synthesis of trigger properties
LPAR'10 Proceedings of the 16th international conference on Logic for programming, artificial intelligence, and reasoning
Design and verification of systems with exogenous coordination using Vereofy
ISoLA'10 Proceedings of the 4th international conference on Leveraging applications of formal methods, verification, and validation - Volume Part II
Model-checking temporal properties of real-time HTL programs
ISoLA'10 Proceedings of the 4th international conference on Leveraging applications of formal methods, verification, and validation - Volume Part II
Run-time verification of networked software
RV'10 Proceedings of the First international conference on Runtime verification
ICFEM'10 Proceedings of the 12th international conference on Formal engineering methods and software engineering
Software metrics in static program analysis
ICFEM'10 Proceedings of the 12th international conference on Formal engineering methods and software engineering
A methodology for automatic diagnosability analysis
ICFEM'10 Proceedings of the 12th international conference on Formal engineering methods and software engineering
Efficient synthesis of a class of Boolean programs from I-O data: Application to genetic networks
Discrete Applied Mathematics
Visually specifying compliance rules and explaining their violations for business processes
Journal of Visual Languages and Computing
Safe learning with real-time constraints: a case study
IEA/AIE'10 Proceedings of the 23rd international conference on Industrial engineering and other applications of applied intelligent systems - Volume Part I
Modeling and verifying agent-based communities of web services
IEA/AIE'10 Proceedings of the 23rd international conference on Industrial engineering and other applications of applied intelligent systems - Volume Part II
An integrated approach to P systems formal verification
CMC'10 Proceedings of the 11th international conference on Membrane computing
Access nets: modeling access to physical spaces
VMCAI'11 Proceedings of the 12th international conference on Verification, model checking, and abstract interpretation
Automata learning with automated alphabet abstraction refinement
VMCAI'11 Proceedings of the 12th international conference on Verification, model checking, and abstract interpretation
Unambiguous UML composite structures: the OMEGA2 experience
SOFSEM'11 Proceedings of the 37th international conference on Current trends in theory and practice of computer science
Implicit abstraction heuristics
Journal of Artificial Intelligence Research
IBERAMIA'10 Proceedings of the 12th Ibero-American conference on Advances in artificial intelligence
A new method for formalizing optimistic fair exchange protocols
ICICS'10 Proceedings of the 12th international conference on Information and communications security
Model-checking games for fixpoint logics with partial order models
Information and Computation
Compositional verification of events and observers: (summary)
Proceedings of the 10th international workshop on Foundations of aspect-oriented languages
Integrating planning and control for single-bodied wheeled mobile robots
Autonomous Robots
Choreography conformance via synchronizability
Proceedings of the 20th international conference on World wide web
From sequential extended regular expressions to NFA with symbolic labels
CIAA'10 Proceedings of the 15th international conference on Implementation and application of automata
A decade of software model checking with SLAM
Communications of the ACM
Formal methods in agent-oriented software engineering
AOSE'10 Proceedings of the 10th international conference on Agent-oriented software engineering
Timed Modal Logics for Real-Time Systems
Journal of Logic, Language and Information
The Mechanical Verification of a DPLL-Based Satisfiability Solver
Electronic Notes in Theoretical Computer Science (ENTCS)
Coalgebraic logic over general measurable spaces ??? a survey
Mathematical Structures in Computer Science
Distributed coordination of mobile robots using RFID technology
ACMOS'06 Proceedings of the 8th WSEAS international conference on Automatic control, modeling & simulation
Timed automata for web services verification
ACS'06 Proceedings of the 6th WSEAS international conference on Applied computer science
A typed specification for security protocols
DNCOCO'06 Proceedings of the 5th WSEAS international conference on Data networks, communications and computers
Monitoring and recovery of web service applications
The smart internet
A compositional framework for programming stochastically interacting robots
International Journal of Robotics Research
Towards formal validation of trust and security in the internet of services
The future internet
Run-time efficient probabilistic model checking
Proceedings of the 33rd International Conference on Software Engineering
Counter example-based error localization of behavior models
NFM'11 Proceedings of the Third international conference on NASA Formal methods
Combining partial-order reduction and symbolic model checking to verify LTL properties
NFM'11 Proceedings of the Third international conference on NASA Formal methods
Monitoring and recovery of web service applications
The smart internet
Statistical verification of probabilistic properties with unbounded until
SBMF'10 Proceedings of the 13th Brazilian conference on Formal methods: foundations and applications
Boosting lazy abstraction for systemc with partial order reduction
TACAS'11/ETAPS'11 Proceedings of the 17th international conference on Tools and algorithms for the construction and analysis of systems: part of the joint European conferences on theory and practice of software
Model checking büchi pushdown systems
FASE'11/ETAPS'11 Proceedings of the 14th international conference on Fundamental approaches to software engineering: part of the joint European conferences on theory and practice of software
Conformance verification of privacy policies
WS-FM'10 Proceedings of the 7th international conference on Web services and formal methods
Partial order reduction for state/event LTL with application to component-interaction automata
Science of Computer Programming
On the decidability of model-checking for P systems
Journal of Automata, Languages and Combinatorics
Identifying state space reduction techniques from behavioural design patterns
Proceedings of the Third Workshop on Behavioural Modelling
Buffer automata: a UI architecture prioritising HCI concerns for interactive devices
Proceedings of the 3rd ACM SIGCHI symposium on Engineering interactive computing systems
Symbolic model checking commitment protocols using reduction
DALT'10 Proceedings of the 8th international conference on Declarative agent languages and technologies VIII
Büchi automata for modeling component connectors
Software and Systems Modeling (SoSyM)
Formalizing requirements with object models and temporal constraints
Software and Systems Modeling (SoSyM)
Minds and Machines
Scientific Theories of Computational Systems in Model Checking
Minds and Machines
Incremental component-based construction and verification using invariants
Proceedings of the 2010 Conference on Formal Methods in Computer-Aided Design
ACM SIGBED Review - Work-in-Progress (WiP) Session of the 2nd International Conference on Cyber Physical Systems
Symbolic model checking of probabilistic knowledge
Proceedings of the 13th Conference on Theoretical Aspects of Rationality and Knowledge
Runtime Verification for LTL and TLTL
ACM Transactions on Software Engineering and Methodology (TOSEM)
Statically-directed dynamic automated test generation
Proceedings of the 2011 International Symposium on Software Testing and Analysis
Using binary decision diagrams for combinatorial test design
Proceedings of the 2011 International Symposium on Software Testing and Analysis
A probabilistic analysis of coverage methods
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Symbolic computation of strongly connected components and fair cycles using saturation
Innovations in Systems and Software Engineering
HipG: parallel processing of large-scale graphs
ACM SIGOPS Operating Systems Review
Program specialization for verifying infinite state systems: an experimental evaluation
LOPSTR'10 Proceedings of the 20th international conference on Logic-based program synthesis and transformation
Program model checking via action planning
MoChArt'10 Proceedings of the 6th international conference on Model checking and artificial intelligence
Automatic data-abstraction in model checking multi-agent systems
MoChArt'10 Proceedings of the 6th international conference on Model checking and artificial intelligence
Formal modelling and initial validation of the chelonia distributed storage system
GPC'11 Proceedings of the 6th international conference on Advances in grid and pervasive computing
Computing the maximum bisimulation with spiking neural P systems
Computation, cooperation, and life
Patterns in world dynamics indicating agency
Transactions on computational collective intelligence III
Analyzing the robustness of FTSP with timed automata
Proceedings of the Second Asia-Pacific Symposium on Internetware
Formal development of a tool for automated modelling and verification of relay interlocking systems
FM'11 Proceedings of the 17th international conference on Formal methods
A model-checking tool for families of services
FMOODS'11/FORTE'11 Proceedings of the joint 13th IFIP WG 6.1 and 30th IFIP WG 6.1 international conference on Formal techniques for distributed systems
Combined logics of knowledge, time, and actions for reasoning about multi-agent systems
KONT'07/KPP'07 Proceedings of the First international conference on Knowledge processing and data analysis
Software verification of autonomic systems developed with ASSL
FOCS'10 Proceedings of the 16th Monterey conference on Foundations of computer software: modeling, development, and verification of adaptive systems
An approach for effective design space exploration
FOCS'10 Proceedings of the 16th Monterey conference on Foundations of computer software: modeling, development, and verification of adaptive systems
Rigorous model-based design & verification flow for in-vehicle software
Proceedings of the 48th Design Automation Conference
Model checking commitment protocols
IEA/AIE'11 Proceedings of the 24th international conference on Industrial engineering and other applications of applied intelligent systems conference on Modern approaches in applied intelligence - Volume Part II
Model checking epistemic and probabilistic properties of multi-agent systems
IEA/AIE'11 Proceedings of the 24th international conference on Industrial engineering and other applications of applied intelligent systems conference on Modern approaches in applied intelligence - Volume Part II
Incremental learning-based testing for reactive systems
TAP'11 Proceedings of the 5th international conference on Tests and proofs
State coverage metrics for specification-based testing with Büchi automata
TAP'11 Proceedings of the 5th international conference on Tests and proofs
An iterative approach for business process template synthesis from compliance rules
CAiSE'11 Proceedings of the 23rd international conference on Advanced information systems engineering
On the verification of social commitments and time
The 10th International Conference on Autonomous Agents and Multiagent Systems - Volume 2
Temporal property verification as a program analysis task
CAV'11 Proceedings of the 23rd international conference on Computer aided verification
Analyzing unsynthesizable specifications for high-level robot behavior using LTLMoP
CAV'11 Proceedings of the 23rd international conference on Computer aided verification
Property-dependent reductions for the modal Mu-calculus
Proceedings of the 18th international SPIN conference on Model checking software
Towards safe human-robot interaction
TAROS'11 Proceedings of the 12th Annual conference on Towards autonomous robotic systems
Annals of Mathematics and Artificial Intelligence
Formal safety analysis in industrial practice
FMICS'11 Proceedings of the 16th international conference on Formal methods for industrial critical systems
Software model checking using languages of nested trees
ACM Transactions on Programming Languages and Systems (TOPLAS)
Infinite computation, co-induction and computational logic
CALCO'11 Proceedings of the 4th international conference on Algebra and coalgebra in computer science
The microcosm principle and compositionality of GSOS-based component calculi
CALCO'11 Proceedings of the 4th international conference on Algebra and coalgebra in computer science
Proving safety properties of rewrite theories
CALCO'11 Proceedings of the 4th international conference on Algebra and coalgebra in computer science
CONCUR'11 Proceedings of the 22nd international conference on Concurrency theory
Compliance by design for artifact-centric business processes
BPM'11 Proceedings of the 9th international conference on Business process management
Monitoring business constraints with linear temporal logic: an approach based on colored automata
BPM'11 Proceedings of the 9th international conference on Business process management
Constraint programming for controller synthesis
CP'11 Proceedings of the 17th international conference on Principles and practice of constraint programming
Rigorous evidence of freedom from concurrency faults in industrial control software
SAFECOMP'11 Proceedings of the 30th international conference on Computer safety, reliability, and security
Formal methods for the certification of autonomous unmanned aircraft systems
SAFECOMP'11 Proceedings of the 30th international conference on Computer safety, reliability, and security
On the adoption of model checking in safety-related software industry
SAFECOMP'11 Proceedings of the 30th international conference on Computer safety, reliability, and security
Bug localization in test-driven development
Advances in Software Engineering
Towards support for software model checking: improving the efficiency of formal specifications
Advances in Software Engineering
Statistical model checking for networks of priced timed automata
FORMATS'11 Proceedings of the 9th international conference on Formal modeling and analysis of timed systems
Model-based dependability analysis of programmable drug infusion pumps
FORMATS'11 Proceedings of the 9th international conference on Formal modeling and analysis of timed systems
Improving reachability analysis of infinite state systems by specialization
RP'11 Proceedings of the 5th international conference on Reachability problems
Model checking for asynchronous web service composition based on XYZ/ADL
WISM'11 Proceedings of the 2011 international conference on Web information systems and mining - Volume Part II
Specification and verification of data and time in web service composition
WISM'11 Proceedings of the 2011 international conference on Web information systems and mining - Volume Part II
Automatic error finding in access-control policies
Proceedings of the 18th ACM conference on Computer and communications security
Wireless Personal Communications: An International Journal
Graded CTL model checking for test generation
Proceedings of the 2011 Symposium on Theory of Modeling & Simulation: DEVS Integrative M&S Symposium
An evolutionary approach for program model checking
MEDI'11 Proceedings of the First international conference on Model and data engineering
DEL planning and some tractable cases
LORI'11 Proceedings of the Third international conference on Logic, rationality, and interaction
Nondeterministic update of CTL models by preserving satisfaction through protections
ATVA'11 Proceedings of the 9th international conference on Automated technology for verification and analysis
The BMC method for the existential part of RTCTLK and interleaved interpreted systems
EPIA'11 Proceedings of the 15th Portugese conference on Progress in artificial intelligence
Efficient data race detection for distributed memory parallel programs
Proceedings of 2011 International Conference for High Performance Computing, Networking, Storage and Analysis
CJAdviser: SMT-based debugging support for ContextJ
Proceedings of the 3rd International Workshop on Context-Oriented Programming
Innovations in Systems and Software Engineering
Specification and encoding of transaction interaction properties
Formal Methods in System Design
Robust Vacuity for Branching Temporal Logic
ACM Transactions on Computational Logic (TOCL)
Towards reliable storage systems
Towards reliable storage systems
Differential dynamic logics: automated theorem proving for hybrid systems
Differential dynamic logics: automated theorem proving for hybrid systems
Differencing labeled transition systems
ICFEM'11 Proceedings of the 13th international conference on Formal methods and software engineering
Data quality through model checking techniques
IDA'11 Proceedings of the 10th international conference on Advances in intelligent data analysis X
Developing model-checking mechanisms for ASSL: an experience report
SEFM'11 Proceedings of the 9th international conference on Software engineering and formal methods
dCTL: a branching time temporal logic for fault-tolerant system verification
SEFM'11 Proceedings of the 9th international conference on Software engineering and formal methods
Learning finite cover automata from queries
Journal of Computer and System Sciences
Context-aware services engineering: Models, transformations, and verification
ACM Transactions on Internet Technology (TOIT)
Reasoning with multi-version ontologies: a temporal logic approach
ISWC'05 Proceedings of the 4th international conference on The Semantic Web
Modelling coordination in biological systems
ISoLA'04 Proceedings of the First international conference on Leveraging Applications of Formal Methods
Optimal path planning for surveillance with temporal-logic constraints*
International Journal of Robotics Research
Verifying real-time temporal, cooperation and epistemic properties for uncertain agents
MICAI'06 Proceedings of the 5th Mexican international conference on Artificial Intelligence
Model extraction using context information
MoDELS'06 Proceedings of the 9th international conference on Model Driven Engineering Languages and Systems
A Decidability Result for the Model Checking of Infinite-State Systems
Journal of Automated Reasoning
Improved model checking of hierarchical systems
Information and Computation
Weak Alphabet Merging of Partial Behavior Models
ACM Transactions on Software Engineering and Methodology (TOSEM)
Noise vs computational intractability in dynamics
Proceedings of the 3rd Innovations in Theoretical Computer Science Conference
Abstraction and refinement in model checking
FMCO'05 Proceedings of the 4th international conference on Formal Methods for Components and Objects
Program compatibility approaches
FMCO'05 Proceedings of the 4th international conference on Formal Methods for Components and Objects
Cluster-Based LTL model checking of large systems
FMCO'05 Proceedings of the 4th international conference on Formal Methods for Components and Objects
Partial order reduction for markov decision processes: a survey
FMCO'05 Proceedings of the 4th international conference on Formal Methods for Components and Objects
Comparing action descriptions based on semantic preferences
JELIA'06 Proceedings of the 10th European conference on Logics in Artificial Intelligence
Towards a unified model-based safety assessment
SAFECOMP'06 Proceedings of the 25th international conference on Computer Safety, Reliability, and Security
Formal verification of programs that use MPI one-sided communication
EuroPVM/MPI'06 Proceedings of the 13th European PVM/MPI User's Group conference on Recent advances in parallel virtual machine and message passing interface
Compiling constraint networks into AND/OR multi-valued decision diagrams (AOMDDs)
CP'06 Proceedings of the 12th international conference on Principles and Practice of Constraint Programming
An improved case-based approach to LTL model checking
RISE'05 Proceedings of the Second international conference on Rapid Integration of Software Engineering Techniques
A logic for assessing sets of heterogeneous testing hypotheses
TestCom'06 Proceedings of the 18th IFIP TC6/WG6.1 international conference on Testing of Communicating Systems
Automatic test generation on a (U)SIM smart card
CARDIS'06 Proceedings of the 7th IFIP WG 8.8/11.2 international conference on Smart Card Research and Advanced Applications
A next-generation platform for analyzing executables
APLAS'05 Proceedings of the Third Asian conference on Programming Languages and Systems
Finding bugs in network protocols using simulation code and protocol-specific heuristics
ICFEM'05 Proceedings of the 7th international conference on Formal Methods and Software Engineering
Automatic refinement checking for b
ICFEM'05 Proceedings of the 7th international conference on Formal Methods and Software Engineering
Slicing an integrated formal method for verification
ICFEM'05 Proceedings of the 7th international conference on Formal Methods and Software Engineering
A multi-agent framework based on communication and concurrency
IWDC'04 Proceedings of the 6th international conference on Distributed Computing
On model-checking of p systems
UC'05 Proceedings of the 4th international conference on Unconventional Computation
ATVA'05 Proceedings of the Third international conference on Automated Technology for Verification and Analysis
Predicate abstraction of RTL verilog descriptions using constraint logic programming
ATVA'05 Proceedings of the Third international conference on Automated Technology for Verification and Analysis
Multi-valued model checking games
ATVA'05 Proceedings of the Third international conference on Automated Technology for Verification and Analysis
Model checking prioritized timed automata
ATVA'05 Proceedings of the Third international conference on Automated Technology for Verification and Analysis
Flat acceleration in symbolic model checking
ATVA'05 Proceedings of the Third international conference on Automated Technology for Verification and Analysis
AI'05 Proceedings of the 18th Australian Joint conference on Advances in Artificial Intelligence
Automatic verification of a model checker by reflection
PADL'06 Proceedings of the 8th international conference on Practical Aspects of Declarative Languages
Experimental evaluation of classical automata constructions
LPAR'05 Proceedings of the 12th international conference on Logic for Programming, Artificial Intelligence, and Reasoning
Model checking abstract state machines with answer set programming
LPAR'05 Proceedings of the 12th international conference on Logic for Programming, Artificial Intelligence, and Reasoning
Reverse observation equivalence between labelled state transition systems
ICTAC'04 Proceedings of the First international conference on Theoretical Aspects of Computing
Verifying linear duration constraints of timed automata
ICTAC'04 Proceedings of the First international conference on Theoretical Aspects of Computing
Search vs. symbolic techniques in satisfiability solving
SAT'04 Proceedings of the 7th international conference on Theory and Applications of Satisfiability Testing
Deciding choreography realizability
POPL '12 Proceedings of the 39th annual ACM SIGPLAN-SIGACT symposium on Principles of programming languages
An abstract interpretation framework for termination
POPL '12 Proceedings of the 39th annual ACM SIGPLAN-SIGACT symposium on Principles of programming languages
Logics for unranked trees: an overview
ICALP'05 Proceedings of the 32nd international conference on Automata, Languages and Programming
Signaling p systems and verification problems
ICALP'05 Proceedings of the 32nd international conference on Automata, Languages and Programming
Temporal logic constraints in the biochemical abstract machine BIOCHAM
LOPSTR'05 Proceedings of the 15th international conference on Logic Based Program Synthesis and Transformation
Design and Implementation of AT: a real-time action description language
LOPSTR'05 Proceedings of the 15th international conference on Logic Based Program Synthesis and Transformation
ICFEM'06 Proceedings of the 8th international conference on Formal Methods and Software Engineering
Verifying abstract information flow properties in fault tolerant security devices
ICFEM'06 Proceedings of the 8th international conference on Formal Methods and Software Engineering
SALT—structured assertion language for temporal logic
ICFEM'06 Proceedings of the 8th international conference on Formal Methods and Software Engineering
Analysing user confusion in context aware mobile applications
INTERACT'05 Proceedings of the 2005 IFIP TC13 international conference on Human-Computer Interaction
Analysing robot swarm behaviour via probabilistic model checking
Robotics and Autonomous Systems
A structural/temporal query language for Business Processes
Journal of Computer and System Sciences
Multi-valued model checking games
Journal of Computer and System Sciences
Journal of Computer and System Sciences
Managing evolution in software product lines: a model-checking perspective
Proceedings of the Sixth International Workshop on Variability Modeling of Software-Intensive Systems
Temporal logic verification using simulation
FORMATS'06 Proceedings of the 4th international conference on Formal Modeling and Analysis of Timed Systems
Model-checking timed ATL for durational concurrent game structures
FORMATS'06 Proceedings of the 4th international conference on Formal Modeling and Analysis of Timed Systems
FORMATS'06 Proceedings of the 4th international conference on Formal Modeling and Analysis of Timed Systems
Towards a symbolic bisimulation for the spi calculus
MSN'05 Proceedings of the First international conference on Mobile Ad-hoc and Sensor Networks
Composition of use cases using synchronization and model checking
FORTE'06 Proceedings of the 26th IFIP WG 6.1 international conference on Formal Techniques for Networked and Distributed Systems
FORTE'06 Proceedings of the 26th IFIP WG 6.1 international conference on Formal Techniques for Networked and Distributed Systems
ICESS'05 Proceedings of the Second international conference on Embedded Software and Systems
EUC'05 Proceedings of the 2005 international conference on Embedded and Ubiquitous Computing
On the computation of stubborn sets of colored petri nets
ICATPN'06 Proceedings of the 27th international conference on Applications and Theory of Petri Nets and Other Models of Concurrency
Modelling biological networks by action languages via answer set programming
ICLP'06 Proceedings of the 22nd international conference on Logic Programming
Model checking for epistemic and temporal properties of uncertain agents
PRIMA'06 Proceedings of the 9th Pacific Rim international conference on Agent Computing and Multi-Agent Systems
A case study for CTL model update
KSEM'06 Proceedings of the First international conference on Knowledge Science, Engineering and Management
Machine learning biochemical networks from temporal logic properties
Transactions on Computational Systems Biology VI
Model checking agent programming languages
Automated Software Engineering
A relationship-based approach to model integration
Innovations in Systems and Software Engineering
Towards verifying contract regulated service composition
Autonomous Agents and Multi-Agent Systems
SystemC waiting state automata
International Journal of Critical Computer-Based Systems
Improved model checking of hierarchical systems
VMCAI'10 Proceedings of the 11th international conference on Verification, Model Checking, and Abstract Interpretation
Automata based model checking for reo connectors
FSEN'09 Proceedings of the Third IPM international conference on Fundamentals of Software Engineering
A refinement-based correctness proof of symmetry reduced model checking
ABZ'10 Proceedings of the Second international conference on Abstract State Machines, Alloy, B and Z
An automata-theoretic approach to hardware/software co-verification
FASE'10 Proceedings of the 13th international conference on Fundamental Approaches to Software Engineering
Evaluating ordering heuristics for dynamic partial-order reduction techniques
FASE'10 Proceedings of the 13th international conference on Fundamental Approaches to Software Engineering
Deciding full branching time logic by program transformation
LOPSTR'09 Proceedings of the 19th international conference on Logic-Based Program Synthesis and Transformation
Avoidance of state explosion using dependency analysis in model checking control flow model
ICCSA'06 Proceedings of the 2006 international conference on Computational Science and Its Applications - Volume Part V
Adding conflict and confusion to CSP
FM'05 Proceedings of the 2005 international conference on Formal Methods
Combining CSP and b for specification and property verification
FM'05 Proceedings of the 2005 international conference on Formal Methods
Automatic symmetry detection for model checking using computational group theory
FM'05 Proceedings of the 2005 international conference on Formal Methods
Dynamic component substitutability analysis
FM'05 Proceedings of the 2005 international conference on Formal Methods
Formal co-verification for soc design with colored petri net
ICESS'04 Proceedings of the First international conference on Embedded Software and Systems
Model optimization techniques in a verification platform for classified properties
ICESS'04 Proceedings of the First international conference on Embedded Software and Systems
CTL model checking for boolean program
ICCSA'06 Proceedings of the 2006 international conference on Computational Science and Its Applications - Volume Part IV
Model checking for database theoreticians
ICDT'05 Proceedings of the 10th international conference on Database Theory
VMCAI'05 Proceedings of the 6th international conference on Verification, Model Checking, and Abstract Interpretation
Efficient verification of halting properties for MPI programs with wildcard receives
VMCAI'05 Proceedings of the 6th international conference on Verification, Model Checking, and Abstract Interpretation
Efficient state space exploration: interleaving stateless and state-based model checking
Proceedings of the International Conference on Computer-Aided Design
Design verification of web applications using symbolic model checking
ICWE'05 Proceedings of the 5th international conference on Web Engineering
A declarative approach for flexible business processes management
BPM'06 Proceedings of the 2006 international conference on Business Process Management Workshops
Safraless compositional synthesis
CAV'06 Proceedings of the 18th international conference on Computer Aided Verification
Minimizing generalized büchi automata
CAV'06 Proceedings of the 18th international conference on Computer Aided Verification
Counterexamples with loops for predicate abstraction
CAV'06 Proceedings of the 18th international conference on Computer Aided Verification
Color-Blind specifications for transformations of reactive synchronous programs
FASE'05 Proceedings of the 8th international conference, held as part of the joint European Conference on Theory and Practice of Software conference on Fundamental Approaches to Software Engineering
A framework for counterexample generation and exploration
FASE'05 Proceedings of the 8th international conference, held as part of the joint European Conference on Theory and Practice of Software conference on Fundamental Approaches to Software Engineering
Verifying the incorrectness of programs and automata
SARA'05 Proceedings of the 6th international conference on Abstraction, Reformulation and Approximation
Experiments with multiple abstraction heuristics in symbolic verification
SARA'05 Proceedings of the 6th international conference on Abstraction, Reformulation and Approximation
A model analysis of a distributed monitoring system using a multi-formalism approach
PARA'04 Proceedings of the 7th international conference on Applied Parallel Computing: state of the Art in Scientific Computing
Bisimulations for non-deterministic labelled markov processes
Mathematical Structures in Computer Science
Verifying multi-agent systems via unbounded model checking
FAABS'04 Proceedings of the Third international conference on Formal Approaches to Agent-Based Systems
Towards symbolic model checking for multi-agent systems via OBDD's
FAABS'04 Proceedings of the Third international conference on Formal Approaches to Agent-Based Systems
An abstract interpretation-based refinement algorithm for strong preservation
TACAS'05 Proceedings of the 11th international conference on Tools and Algorithms for the Construction and Analysis of Systems
A note on on-the-fly verification algorithms
TACAS'05 Proceedings of the 11th international conference on Tools and Algorithms for the Construction and Analysis of Systems
TACAS'05 Proceedings of the 11th international conference on Tools and Algorithms for the Construction and Analysis of Systems
Symstra: a framework for generating object-oriented unit tests using symbolic execution
TACAS'05 Proceedings of the 11th international conference on Tools and Algorithms for the Construction and Analysis of Systems
SATABS: SAT-Based predicate abstraction for ANSI-C
TACAS'05 Proceedings of the 11th international conference on Tools and Algorithms for the Construction and Analysis of Systems
DiVer: SAT-based model checking platform for verifying large scale systems
TACAS'05 Proceedings of the 11th international conference on Tools and Algorithms for the Construction and Analysis of Systems
A new foundation for control-dependence and slicing for modern program structures
ESOP'05 Proceedings of the 14th European conference on Programming Languages and Systems
A logic approach for LTL system modification
ISMIS'05 Proceedings of the 15th international conference on Foundations of Intelligent Systems
Combining formal methods for the development of reactive systems
International Journal of Computer Applications in Technology
Algorithms for CTL system modification
KES'05 Proceedings of the 9th international conference on Knowledge-Based Intelligent Information and Engineering Systems - Volume Part II
Applying constraint logic programming to predicate abstraction of RTL verilog descriptions
MICAI'05 Proceedings of the 4th Mexican international conference on Advances in Artificial Intelligence
CAV'10 Proceedings of the 22nd international conference on Computer Aided Verification
Protocol system integration, interface and interoperability
OPODIS'04 Proceedings of the 8th international conference on Principles of Distributed Systems
Detecting malicious code by model checking
DIMVA'05 Proceedings of the Second international conference on Detection of Intrusions and Malware, and Vulnerability Assessment
Verification of BDD normalization
TPHOLs'05 Proceedings of the 18th international conference on Theorem Proving in Higher Order Logics
Strong preservation of temporal fixpoint-based operators by abstract interpretation
VMCAI'06 Proceedings of the 7th international conference on Verification, Model Checking, and Abstract Interpretation
Model checking probabilistic systems against pushdown specifications
Information Processing Letters
Bounded model checking of concurrent programs
CAV'05 Proceedings of the 17th international conference on Computer Aided Verification
Symmetry reduction in SAT-based model checking
CAV'05 Proceedings of the 17th international conference on Computer Aided Verification
Wolf: bug hunter for concurrent software using formal methods
CAV'05 Proceedings of the 17th international conference on Computer Aided Verification
Formal verification of pentium ® 4 components with symbolic simulation and inductive invariants
CAV'05 Proceedings of the 17th international conference on Computer Aided Verification
Syntax-driven reachable state space construction of synchronous reactive programs
CAV'05 Proceedings of the 17th international conference on Computer Aided Verification
CAV'05 Proceedings of the 17th international conference on Computer Aided Verification
Efficient monitoring of ω-languages
CAV'05 Proceedings of the 17th international conference on Computer Aided Verification
Using process algebra to validate behavioral aspects of object-oriented models
MoDELS'05 Proceedings of the 2005 international conference on Satellite Events at the MoDELS
Safety verification of hybrid systems by constraint propagation based abstraction refinement
HSCC'05 Proceedings of the 8th international conference on Hybrid Systems: computation and control
Theoroidal maps as algebraic simulations
WADT'04 Proceedings of the 17th international conference on Recent Trends in Algebraic Development Techniques
Model checking for nominal calculi
FOSSACS'05 Proceedings of the 8th international conference on Foundations of Software Science and Computation Structures
Model checking durational probabilistic systems
FOSSACS'05 Proceedings of the 8th international conference on Foundations of Software Science and Computation Structures
Visualising larger state spaces in ProB
ZB'05 Proceedings of the 4th international conference on Formal Specification and Development in Z and B
Slicing object-z specifications for verification
ZB'05 Proceedings of the 4th international conference on Formal Specification and Development in Z and B
Deciding properties of message sequence charts
SMTT'03 Proceedings of the 2003 international conference on Scenarios: models, Transformations and Tools
Genetic algorithms for the variable ordering problem of binary decision diagrams
FOGA'05 Proceedings of the 8th international conference on Foundations of Genetic Algorithms
A symbolic model checker for tccp programs
RISE'04 Proceedings of the First international conference on Rapid Integration of Software Engineering Techniques
The biochemical abstract machine BIOCHAM
CMSB'04 Proceedings of the 20 international conference on Computational Methods in Systems Biology
Combining state-based and scenario-based approaches in modeling biological systems
CMSB'04 Proceedings of the 20 international conference on Computational Methods in Systems Biology
A methodology based on formal methods for predicting the impact of dynamic power management
SFM-Moby'05 Proceedings of the 5th international conference on Formal Methods for the Design of Computer, Communication, and Software Systems: mobile computing
A categorical approach to simulations
CALCO'05 Proceedings of the First international conference on Algebra and Coalgebra in Computer Science
Symbolic model checking for asynchronous boolean programs
SPIN'05 Proceedings of the 12th international conference on Model Checking Software
Sound transaction-based reduction without cycle detection
SPIN'05 Proceedings of the 12th international conference on Model Checking Software
SPIN'05 Proceedings of the 12th international conference on Model Checking Software
CHARME'05 Proceedings of the 13 IFIP WG 10.5 international conference on Correct Hardware Design and Verification Methods
Verifying quantitative properties using bound functions
CHARME'05 Proceedings of the 13 IFIP WG 10.5 international conference on Correct Hardware Design and Verification Methods
How thorough is thorough enough?
CHARME'05 Proceedings of the 13 IFIP WG 10.5 international conference on Correct Hardware Design and Verification Methods
Achieving speedups in distributed symbolic reachability analysis through asynchronous computation
CHARME'05 Proceedings of the 13 IFIP WG 10.5 international conference on Correct Hardware Design and Verification Methods
Saturation-based symbolic reachability analysis using conjunctive and disjunctive partitioning
CHARME'05 Proceedings of the 13 IFIP WG 10.5 international conference on Correct Hardware Design and Verification Methods
CHARME'05 Proceedings of the 13 IFIP WG 10.5 international conference on Correct Hardware Design and Verification Methods
Identification and counter abstraction for full virtual symmetry
CHARME'05 Proceedings of the 13 IFIP WG 10.5 international conference on Correct Hardware Design and Verification Methods
Symbolic partial order reduction for rule based transition systems
CHARME'05 Proceedings of the 13 IFIP WG 10.5 international conference on Correct Hardware Design and Verification Methods
Abstraction refinement for termination
SAS'05 Proceedings of the 12th international conference on Static Analysis
Application of formal methods to the analysis of web services security
EPEW'05/WS-FM'05 Proceedings of the 2005 international conference on European Performance Engineering, and Web Services and Formal Methods, international conference on Formal Techniques for Computer Systems and Business Processes
Automatic translation of WS-CDL choreographies to timed automata
EPEW'05/WS-FM'05 Proceedings of the 2005 international conference on European Performance Engineering, and Web Services and Formal Methods, international conference on Formal Techniques for Computer Systems and Business Processes
Incremental formal verification of hardware
Proceedings of the International Conference on Formal Methods in Computer-Aided Design
Formal analysis of fractional order systems in HOL
Proceedings of the International Conference on Formal Methods in Computer-Aided Design
Parameterized verification of deadlock freedom in symmetric cache coherence protocols
Proceedings of the International Conference on Formal Methods in Computer-Aided Design
Testability of oracle automata
CIAA'04 Proceedings of the 9th international conference on Implementation and Application of Automata
Safe programming with pointers through stateful views
PADL'05 Proceedings of the 7th international conference on Practical Aspects of Declarative Languages
Dependence testing: extending data flow testing with control dependence
TestCom'05 Proceedings of the 17th IFIP TC6/WG 6.1 international conference on Testing of Communicating Systems
NeVer: a tool for artificial neural networks verification
Annals of Mathematics and Artificial Intelligence
Transparent partial order reduction
Formal Methods in System Design
Developing test systems for multi-modules hardware designs
Programming and Computing Software
Kripke modelling and verification of temporal specifications of a multiple UAV system
Annals of Mathematics and Artificial Intelligence
On algebra of languages representable by vertex-labeled graphs
Theoretical Computer Science
Design verification for product line development
SPLC'05 Proceedings of the 9th international conference on Software Product Lines
Constructing open systems via consistent components
ICTAC'05 Proceedings of the Second international conference on Theoretical Aspects of Computing
A logic-based approach to cache answerability for XPath queries
XSym'06 Proceedings of the 4th international conference on Database and XML Technologies
Heuristic search for the analysis of graph transition systems
ICGT'06 Proceedings of the Third international conference on Graph Transformations
Fast generic model-checking for data-based systems
FORTE'05 Proceedings of the 25th IFIP WG 6.1 international conference on Formal Techniques for Networked and Distributed Systems
Proving ∀µ-calculus properties with SAT-based model checking
FORTE'05 Proceedings of the 25th IFIP WG 6.1 international conference on Formal Techniques for Networked and Distributed Systems
Abstraction-Guided model checking using symbolic IDA* and heuristic synthesis
FORTE'05 Proceedings of the 25th IFIP WG 6.1 international conference on Formal Techniques for Networked and Distributed Systems
Modeling and verification of safety-critical systems using safecharts
FORTE'05 Proceedings of the 25th IFIP WG 6.1 international conference on Formal Techniques for Networked and Distributed Systems
DecSerFlow: towards a truly declarative service flow language
WS-FM'06 Proceedings of the Third international conference on Web Services and Formal Methods
Analysis and verification of time requirements applied to the web services composition
WS-FM'06 Proceedings of the Third international conference on Web Services and Formal Methods
PETRI NETS'10 Proceedings of the 31st international conference on Applications and Theory of Petri Nets
A characterization of combined traces using labeled stratified order structures
PETRI NETS'10 Proceedings of the 31st international conference on Applications and Theory of Petri Nets
Exact and approximate strategies for symmetry reduction in model checking
FM'06 Proceedings of the 14th international conference on Formal Methods
FM'06 Proceedings of the 14th international conference on Formal Methods
Tracechecks: defining semantic interfaces with temporal logic
SC'06 Proceedings of the 5th international conference on Software Composition
Inference of event-recording automata using timed decision trees
CONCUR'06 Proceedings of the 17th international conference on Concurrency Theory
ATVA'06 Proceedings of the 4th international conference on Automated Technology for Verification and Analysis
Relating automata to other fields
Journal of Computing Sciences in Colleges
Software Engineering for Multi-Agent Systems III
Dependable Systems
Component-Based Software Development for Embedded Systems
Behavioral types for embedded software: a survey
Component-Based Software Development for Embedded Systems
Achieving fault tolerance by a formally validated interaction policy
Rigorous Development of Complex Fault-Tolerant Systems
Rigorous fault tolerance using aspects and formal methods
Rigorous Development of Complex Fault-Tolerant Systems
Introduction to special section on formal methods in pervasive computing
ACM Transactions on Autonomous and Adaptive Systems (TAAS) - Special section on formal methods in pervasive computing, pervasive adaptation, and self-adaptive systems: Models and algorithms
From syntax to semantics in systems biology towards automated reasoning tools
Transactions on Computational Systems Biology IV
Aspect categories and classes of temporal properties
Transactions on Aspect-Oriented Software Development I
Ensuring secure and robust grid applications – from a formal method point of view
GPC'06 Proceedings of the First international conference on Advances in Grid and Pervasive Computing
The sweep-line state space exploration method
Theoretical Computer Science
Modular discrete time approximations of distributed hybrid automata
Theoretical Computer Science
SAT-Based verification methods and applications in hardware verification
SFM'06 Proceedings of the 6th international conference on Formal Methods for the Design of Computer, Communication, and Software Systems
Refinement and theorem proving
SFM'06 Proceedings of the 6th international conference on Formal Methods for the Design of Computer, Communication, and Software Systems
Verification of component-based software application families
CBSE'06 Proceedings of the 9th international conference on Component-Based Software Engineering
Adapting biochemical kripke structures for distributed model checking
Transactions on Computational Systems Biology VII
Validating and animating higher-order recursive functions in b
Rigorous Methods for Software Construction and Analysis
Biomodel engineering – from structure to behavior
Transactions on Computational Systems Biology XII
Generating tests from EFSM models using guided model checking and iterated search refinement
FATES'06/RV'06 Proceedings of the First combined international conference on Formal Approaches to Software Testing and Runtime Verification
Decompositional algorithms for safety verification and testing of aspect-oriented systems
FATES'06/RV'06 Proceedings of the First combined international conference on Formal Approaches to Software Testing and Runtime Verification
Deterministic dynamic monitors for linear-time assertions
FATES'06/RV'06 Proceedings of the First combined international conference on Formal Approaches to Software Testing and Runtime Verification
Robustness of temporal logic specifications
FATES'06/RV'06 Proceedings of the First combined international conference on Formal Approaches to Software Testing and Runtime Verification
The complexity of model checking concurrent programs against CTLK specifications
DALT'06 Proceedings of the 4th international conference on Declarative Agent Languages and Technologies
Symmetry reduction for b by permutation flooding
B'07 Proceedings of the 7th international conference on Formal Specification and Development in B
Model checking Is static analysis of modal logic
FOSSACS'10 Proceedings of the 13th international conference on Foundations of Software Science and Computational Structures
Verifiable semantic model for agent interactions using social commitments
LADS'09 Proceedings of the Second international conference on Languages, Methodologies, and Development Tools for Multi-Agent Systems
IFM'05 Proceedings of the 5th international conference on Integrated Formal Methods
Efficient symmetry reduction for an actor-based model
ICDCIT'05 Proceedings of the Second international conference on Distributed Computing and Internet Technology
Simultaneous SAT-Based model checking of safety properties
HVC'05 Proceedings of the First Haifa international conference on Hardware and Software Verification and Testing
Implementing temporal logics: tools for execution and proof
CLIMA'05 Proceedings of the 6th international conference on Computational Logic in Multi-Agent Systems
Why waste a perfectly good abstraction?
TACAS'06 Proceedings of the 12th international conference on Tools and Algorithms for the Construction and Analysis of Systems
Approximating predicate images for bit-vector logic
TACAS'06 Proceedings of the 12th international conference on Tools and Algorithms for the Construction and Analysis of Systems
Compositional model extraction for higher-order concurrent programs
TACAS'06 Proceedings of the 12th international conference on Tools and Algorithms for the Construction and Analysis of Systems
MCMAS: a model checker for multi-agent systems
TACAS'06 Proceedings of the 12th international conference on Tools and Algorithms for the Construction and Analysis of Systems
Automated support for building behavioral models of event-driven systems
FASE'06 Proceedings of the 9th international conference on Fundamental Approaches to Software Engineering
Fundamentals of debugging using a resolution calculus
FASE'06 Proceedings of the 9th international conference on Fundamental Approaches to Software Engineering
Don’t know in probabilistic systems
SPIN'06 Proceedings of the 13th international conference on Model Checking Software
Exploiting symmetry and transactions for partial order reduction of rule based specifications
SPIN'06 Proceedings of the 13th international conference on Model Checking Software
Coalgebraic semantics for component systems
Proceedings of the 2004 international conference on Architecting Systems with Trustworthy Components
Towards model checking stochastic aspects of the thinkteam user interface
DSVIS'05 Proceedings of the 12th international conference on Interactive Systems: design, specification, and verification
Automatic critiques of interface modes
DSVIS'05 Proceedings of the 12th international conference on Interactive Systems: design, specification, and verification
Interleaving command sequences: a threat to secure smartcard interoperability
CIMMACS'11/ISP'11 Proceedings of the 10th WSEAS international conference on Computational Intelligence, Man-Machine Systems and Cybernetics, and proceedings of the 10th WSEAS international conference on Information Security and Privacy
On synthesizing robust discrete controllers under modeling uncertainty
Proceedings of the 15th ACM international conference on Hybrid Systems: Computation and Control
Language-guided controller synthesis for discrete-time linear systems
Proceedings of the 15th ACM international conference on Hybrid Systems: Computation and Control
A symbolic approach to the design of nonlinear networked control systems
Proceedings of the 15th ACM international conference on Hybrid Systems: Computation and Control
Computational modeling and verification of signaling pathways in cancer
ANB'10 Proceedings of the 4th international conference on Algebraic and Numeric Biology
Model checking adaptive multilevel service compositions
FACS'10 Proceedings of the 7th international conference on Formal Aspects of Component Software
Behavioral interface specification languages
ACM Computing Surveys (CSUR)
Middleware'11 Proceedings of the 12th ACM/IFIP/USENIX international conference on Middleware
Recent challenges and ideas in temporal synthesis
SOFSEM'12 Proceedings of the 38th international conference on Current Trends in Theory and Practice of Computer Science
Whale: an interpolation-based algorithm for inter-procedural verification
VMCAI'12 Proceedings of the 13th international conference on Verification, Model Checking, and Abstract Interpretation
Inferring canonical register automata
VMCAI'12 Proceedings of the 13th international conference on Verification, Model Checking, and Abstract Interpretation
Effective synthesis of asynchronous systems from GR(1) specifications
VMCAI'12 Proceedings of the 13th international conference on Verification, Model Checking, and Abstract Interpretation
Formal verification of p systems with active membranes through model checking
CMC'11 Proceedings of the 12th international conference on Membrane Computing
Symbolic execution of Reo circuits using constraint automata
Science of Computer Programming
Towards a notion of unsatisfiable and unrealizable cores for LTL
Science of Computer Programming
Using model checking to analyze static properties of declarative models
ASE '11 Proceedings of the 2011 26th IEEE/ACM International Conference on Automated Software Engineering
Analyzing temporal properties of abstract models
ASE '11 Proceedings of the 2011 26th IEEE/ACM International Conference on Automated Software Engineering
Model checking distributed systems by combining caching and process checkpointing
ASE '11 Proceedings of the 2011 26th IEEE/ACM International Conference on Automated Software Engineering
Interactive specification and verification of behavioral adaptation contracts
Information and Software Technology
A logical verification methodology for service-oriented computing
ACM Transactions on Software Engineering and Methodology (TOSEM)
ICCPS '12 Proceedings of the 2012 IEEE/ACM Third International Conference on Cyber-Physical Systems
Model checking of time Petri nets
VECoS'07 Proceedings of the First international conference on Verification and Evaluation of Computer and Communication Systems
Zenoness detection and timed model checking for real time systems
VECoS'07 Proceedings of the First international conference on Verification and Evaluation of Computer and Communication Systems
Timed systemC waiting-state automata
VECoS'09 Proceedings of the Third international conference on Verification and Evaluation of Computer and Communication Systems
SBMC: symmetric bounded model checking
VECoS'10 Proceedings of the Fourth international conference on Verification and Evaluation of Computer and Communication Systems
Building SystemC waiting state automata
VECoS'11 Proceedings of the Fifth international conference on Verification and Evaluation of Computer and Communication Systems
Header space analysis: static checking for networks
NSDI'12 Proceedings of the 9th USENIX conference on Networked Systems Design and Implementation
Symbolic model checking on SystemC designs
Proceedings of the 49th Annual Design Automation Conference
Path directed abstraction and refinement in SAT-based design debugging
Proceedings of the 49th Annual Design Automation Conference
A Büchi automata based model checking framework for reo connectors
Proceedings of the 27th Annual ACM Symposium on Applied Computing
Model checking: one can do much more than you think!
FSEN'11 Proceedings of the 4th IPM international conference on Fundamentals of Software Engineering
Monitor-Based statistical model checking for weighted metric temporal logic
LPAR'12 Proceedings of the 18th international conference on Logic for Programming, Artificial Intelligence, and Reasoning
Exploiting step semantics for efficient bounded model checking of asynchronous systems
Science of Computer Programming
SAT-solving in CSP trace refinement
Science of Computer Programming
Multicore acceleration of priority-based schedulers for concurrency bug detection
Proceedings of the 33rd ACM SIGPLAN conference on Programming Language Design and Implementation
Object model construction for inheritance in c++ and its applications to program analysis
CC'12 Proceedings of the 21st international conference on Compiler Construction
Trace spaces: an efficient new technique for state-space reduction
ESOP'12 Proceedings of the 21st European conference on Programming Languages and Systems
Towards incrementalization of holistic hyperproperties
POST'12 Proceedings of the First international conference on Principles of Security and Trust
Specification in PDL with recursion
NFM'12 Proceedings of the 4th international conference on NASA Formal Methods
Automatic inference of memory fences
ACM SIGACT News
A safety-focused verification using software fault trees
Future Generation Computer Systems
Challenges of a Validation Process Based on Models: An Industrial Case Study
Bell Labs Technical Journal
Alternating epistemic Mu-calculus
IJCAI'11 Proceedings of the Twenty-Second international joint conference on Artificial Intelligence - Volume Volume One
Model checking knowledge in pursuit evasion games
IJCAI'11 Proceedings of the Twenty-Second international joint conference on Artificial Intelligence - Volume Volume One
Verifying fault tolerance and self-diagnosability of an autonomous underwater vehicle
IJCAI'11 Proceedings of the Twenty-Second international joint conference on Artificial Intelligence - Volume Volume Two
A comprehensive approach to on-board autonomy verification and validation
IJCAI'11 Proceedings of the Twenty-Second international joint conference on Artificial Intelligence - Volume Volume Three
A correctness result for reasoning about one-dimensional planning problems
IJCAI'11 Proceedings of the Twenty-Second international joint conference on Artificial Intelligence - Volume Volume Three
Survey: Linear Temporal Logic Symbolic Model Checking
Computer Science Review
Complexity results for Weighted Timed Event Graphs
Discrete Optimization
Secure and efficient dynamic program update in wireless sensor networks
Security and Communication Networks
Information Sciences: an International Journal
Journal of Computer Science and Technology - Special issue on Natural Language Processing
Simulation-based abstractions for software product-line model checking
Proceedings of the 34th International Conference on Software Engineering
An Ensemble Architecture for Learning Complex Problem-Solving Techniques from Demonstration
ACM Transactions on Intelligent Systems and Technology (TIST)
Temporal property verification as a program analysis task
Formal Methods in System Design
Symbolic model checking for temporal-epistemic logic
Logic Programs, Norms and Action
Exponential acceleration of model checking for perfect recall systems
PSI'11 Proceedings of the 8th international conference on Perspectives of System Informatics
Bounded model checking for the existential part of real-time CTL and knowledge
CEE-SET'09 Proceedings of the 4th IFIP TC 2 Central and East European conference on Advances in Software Engineering Techniques
RV'11 Proceedings of the Second international conference on Runtime verification
Coverage metrics for saturation-based and search-based testing of concurrent software
RV'11 Proceedings of the Second international conference on Runtime verification
Runtime verification of traces under recording uncertainty
RV'11 Proceedings of the Second international conference on Runtime verification
Combining static and dynamic models for boosting forward planning
CPAIOR'12 Proceedings of the 9th international conference on Integration of AI and OR Techniques in Constraint Programming for Combinatorial Optimization Problems
Reasoning under compliance assumptions in normative multiagent systems
Proceedings of the 11th International Conference on Autonomous Agents and Multiagent Systems - Volume 1
Group synthesis for parametric temporal-epistemic logic
Proceedings of the 11th International Conference on Autonomous Agents and Multiagent Systems - Volume 2
Bounded model checking for knowledge and linear time
Proceedings of the 11th International Conference on Autonomous Agents and Multiagent Systems - Volume 3
LEARNING AND VERIFYING SAFETY CONSTRAINTS FOR PLANNERS IN A KNOWLEDGE-IMPOVERISHED SYSTEM
Computational Intelligence
Application of Deadlock Risk Evaluation of Architectural Models
Software—Practice & Experience
Formal verification methodology considerations for network on chips
Proceedings of the International Conference on Advances in Computing, Communications and Informatics
Test generation for sequential nets of abstract state machines
ABZ'12 Proceedings of the Third international conference on Abstract State Machines, Alloy, B, VDM, and Z
Temporal logic model checking in alloy
ABZ'12 Proceedings of the Third international conference on Abstract State Machines, Alloy, B, VDM, and Z
SAT-Based bounded model checking for deontic interleaved interpreted systems
KES-AMSTA'12 Proceedings of the 6th KES international conference on Agent and Multi-Agent Systems: technologies and applications
Two approaches to bounded model checking for linear time logic with knowledge
KES-AMSTA'12 Proceedings of the 6th KES international conference on Agent and Multi-Agent Systems: technologies and applications
Qualitative verification of finite and real-time DEVS networks
Proceedings of the 2012 Symposium on Theory of Modeling and Simulation - DEVS Integrative M&S Symposium
LTL model-checking for security protocols
AI Communications
On-the-fly parallel model checking algorithm that is optimal for verification of weak LTL properties
Science of Computer Programming
Flexible Plan Verification: Feasibility Results
Fundamenta Informaticae - RCRA 2009 Experimental Evaluation of Algorithms for Solving Problems with Combinatorial Explosion
EPR-based bounded model checking at word level
IJCAR'12 Proceedings of the 6th international joint conference on Automated Reasoning
Specification and verification of multi-agent systems
ESSLLI'10 Proceedings of the 2010 conference on ESSLLI 2010, and ESSLLI 2011 conference on Lectures on Logic and Computation
LICS '12 Proceedings of the 2012 27th Annual IEEE/ACM Symposium on Logic in Computer Science
Model Checking Temporal-Epistemic Logic Using Alternating Tree Automata
Fundamenta Informaticae - Concurrency Specification and Programming (CS&P)
Saving Space in a Time Efficient Simulation Algorithm
Fundamenta Informaticae - Application of Concurrency to System Design, the Eighth Special Issue
Fundamenta Informaticae - Theory that Counts: To Oscar Ibarra on His 70th Birthday
High-Level Petri Net Model Checking with AlPiNA
Fundamenta Informaticae - Applications and Theory of Petri Nets and Other Models of Concurrency, 2010
Fundamenta Informaticae - Applications and Theory of Petri Nets and Other Models of Concurrency, 2010
A solver for reachability modulo theories
CAV'12 Proceedings of the 24th international conference on Computer Aided Verification
Medical protocol diagnosis using formal methods
FHIES'11 Proceedings of the First international conference on Foundations of Health Informatics Engineering and Systems
Formalization of heart models based on the conduction of electrical impulses and cellular automata
FHIES'11 Proceedings of the First international conference on Foundations of Health Informatics Engineering and Systems
Integrating model checking and inductive logic programming
ILP'11 Proceedings of the 21st international conference on Inductive Logic Programming
Abstraction for model checking modular interpreted systems over ATL
ProMAS'11 Proceedings of the 9th international conference on Programming Multi-Agent Systems
Using real relaxations during program specialization
LOPSTR'11 Proceedings of the 21st international conference on Logic-Based Program Synthesis and Transformation
Proving properties of co-logic programs by unfold/fold transformations
LOPSTR'11 Proceedings of the 21st international conference on Logic-Based Program Synthesis and Transformation
On parallel software verification using boolean equation systems
SPIN'12 Proceedings of the 19th international conference on Model Checking Software
A Translator of Java Programs to TADDs
Fundamenta Informaticae - Concurrency Specification and Programming (CS&P)
A Practical Approach to Verification of Mobile Systems Using Net Unfoldings
Fundamenta Informaticae - Petri Nets 2008
Fundamenta Informaticae - Advances in Computational Logic (CIL C08)
Invariance Under Stuttering in a Temporal Logic without the "Until" Operator
Fundamenta Informaticae
A Basic Logic for Reasoning about Connector Reconfiguration
Fundamenta Informaticae - Behavior of Composed Concurrent Systems: Logic and Reasoning
Information and Software Technology
SAT-based Unbounded Model Checking of Timed Automata
Fundamenta Informaticae - Concurrency Specification and Programming (CS&P)
Improving the Translation from ECTL to SAT
Fundamenta Informaticae - Concurrency Specification and Programming (CS&P)
Towards Verification of Java Programs in perICS
Fundamenta Informaticae - Concurrency Specification and Programming (CS&P)
VerICS 2007 - a Model Checker for Knowledge and Real-Time
Fundamenta Informaticae - Concurrency Specification and Programming (CS&P)
Model Checking Abstract State Machines with Answer Set Programming
Fundamenta Informaticae - This is a SPECIAL ISSUE ON ASM'05
Bounded Model Checking for the Existential Fragment of TCTL$_{-G}$ and Diagonal Timed Automata
Fundamenta Informaticae
A small model theorem for rectangular hybrid automata networks
FMOODS'12/FORTE'12 Proceedings of the 14th joint IFIP WG 6.1 international conference and Proceedings of the 32nd IFIP WG 6.1 international conference on Formal Techniques for Distributed Systems
Beyond lassos: complete SMT-Based bounded model checking for timed automata
FMOODS'12/FORTE'12 Proceedings of the 14th joint IFIP WG 6.1 international conference and Proceedings of the 32nd IFIP WG 6.1 international conference on Formal Techniques for Distributed Systems
An approach to model checking ada programs
Ada-Europe'12 Proceedings of the 17th Ada-Europe international conference on Reliable Software Technologies
Formal verification of temporal questions in the context of query-answering text summarization
Canadian AI'12 Proceedings of the 25th Canadian conference on Advances in Artificial Intelligence
Verification of the TESLA protocol in MCMAS-X
Fundamenta Informaticae - Special Issue on Concurrency Specification and Programming (CS&P)
Nested Emptiness Search for Generalized Büchi Automata
Fundamenta Informaticae - APPLICATION OF CONCURRENCY TO SYSTEM DESIGN (ACSD'04)
IFM'12 Proceedings of the 9th international conference on Integrated Formal Methods
Model checking as static analysis: revisited
IFM'12 Proceedings of the 9th international conference on Integrated Formal Methods
Hybrid on-the-fly LTL model checking with the sweep-line method
PETRI NETS'12 Proceedings of the 33rd international conference on Application and Theory of Petri Nets
Reasoning about time-dependent multi-agents: foundations of theorem proving and model checking
Transactions on Compuational Collective Intelligence VI
Comparing BDD and SAT Based Techniques for Model Checking Chaum's Dining Cryptographers Protocol
Fundamenta Informaticae - SPECIAL ISSUE ON CONCURRENCY SPECIFICATION AND PROGRAMMING (CS&P 2005) Ruciane-Nide, Poland, 28-30 September 2005
Update and Abstraction in Model Checking of Knowledge and Branching Time
Fundamenta Informaticae - SPECIAL ISSUE ON CONCURRENCY SPECIFICATION AND PROGRAMMING (CS&P 2005) Ruciane-Nide, Poland, 28-30 September 2005
Decidability and Universality in Symbolic Dynamical Systems
Fundamenta Informaticae - SPECIAL ISSUE MCU2004
On Designated Values in Multi-valued CTL^* Model Checking
Fundamenta Informaticae - Concurrency Specification and Programming (CS&P 2003)
A Logic Framework for Verification of Timed Algorithms
Fundamenta Informaticae - Continuous Time Paradigms in Logic and Automata
From Bounded to Unbounded Model Checking for Temporal Epistemic Logic
Fundamenta Informaticae - Multiagent Systems (FAMAS'03)
Modeling and Verification of Reactive Systems using Rebeca
Fundamenta Informaticae
Synthesis of insulin pump controllers from safety specifications using Bayesian model validation
International Journal of Bioinformatics Research and Applications
Verifying Epistemic Properties of Multi-agent Systems via Bounded Model Checking
Fundamenta Informaticae - Concurrency Specification and Programming (CS&P'2002), Part 2
ACTLS properties and Bounded Model Checking
Fundamenta Informaticae
Verification of Timed Automata Based on Similarity
Fundamenta Informaticae - Concurrency Specification and Programming Workshop (CS&P'2001)
Bounded Model Checking for the Universal Fragment of CTL
Fundamenta Informaticae - Concurrency Specification and Programming Workshop (CS&P'2001)
Stable Models for Stubborn Sets
Fundamenta Informaticae
Validation of requirements for hybrid systems: A formal approach
ACM Transactions on Software Engineering and Methodology (TOSEM)
Safer "5-key" number entry user interfaces using differential formal analysis
BCS-HCI '12 Proceedings of the 26th Annual BCS Interaction Specialist Group Conference on People and Computers
Communicative commitments: Model checking and complexity analysis
Knowledge-Based Systems
Note: Finite and infinite implementation of transition systems
Theoretical Computer Science
Efficient self-learning techniques for SAT-based test generation
Proceedings of the eighth IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis
Improving Reachability Analysis of Infinite State Systems by Specialization
Fundamenta Informaticae - Concurrency Specification and Programming (CS&P)
Towards SAT-based BMC for LTLK over Interleaved Interpreted Systems
Fundamenta Informaticae - Concurrency Specification and Programming (CS&P)
Conflict-preserving abstraction of discrete event systems using annotated automata
Discrete Event Dynamic Systems
Security Analysis of Standards-Driven Communication Protocols for Healthcare Scenarios
Journal of Medical Systems
The Journal of Supercomputing
Compositional verification and 3-valued abstractions join forces
SAS'07 Proceedings of the 14th international conference on Static Analysis
Predicting protein folding kinetics via temporal logic model checking
WABI'07 Proceedings of the 7th international conference on Algorithms in Bioinformatics
Tightening the exchange rates between automata
CSL'07/EACSL'07 Proceedings of the 21st international conference, and Proceedings of the 16th annuall conference on Computer Science Logic
Modeling and automatic failure analysis of safety-critical systems using extended safecharts
SAFECOMP'07 Proceedings of the 26th international conference on Computer Safety, Reliability, and Security
Using deductive cause-consequence analysis (DCCA) with SCADE
SAFECOMP'07 Proceedings of the 26th international conference on Computer Safety, Reliability, and Security
Proceedings of the Workshop on Performance Metrics for Intelligent Systems
Verification of halting properties for MPI programs using nonblocking operations
PVM/MPI'07 Proceedings of the 14th European conference on Recent Advances in Parallel Virtual Machine and Message Passing Interface
Practical model-checking method for verifying correctness of MPI programs
PVM/MPI'07 Proceedings of the 14th European conference on Recent Advances in Parallel Virtual Machine and Message Passing Interface
What makes a good process model?
Software and Systems Modeling (SoSyM)
The quest for runware: on compositional, executable and intuitive models
Software and Systems Modeling (SoSyM)
Efficient probabilistic abstraction for SysML activity diagrams
SEFM'12 Proceedings of the 10th international conference on Software Engineering and Formal Methods
Modeling and analysis of CPU usage in safety-critical embedded systems to support stress testing
MODELS'12 Proceedings of the 15th international conference on Model Driven Engineering Languages and Systems
Minimal proof search for modal logic k model checking
JELIA'12 Proceedings of the 13th European conference on Logics in Artificial Intelligence
Formal Specification of Medical Systems by Proof-Based Refinement
ACM Transactions on Embedded Computing Systems (TECS) - Special Issue on Modeling and Verification of Discrete Event Systems
Combining Formal Methods for the Development of Reactive Systems
ACM Transactions on Embedded Computing Systems (TECS) - Special Issue on Modeling and Verification of Discrete Event Systems
Verification of behaviour networks using finite-state automata
KI'12 Proceedings of the 35th Annual German conference on Advances in Artificial Intelligence
Model checking systems and specifications with parameterized atomic propositions
ATVA'12 Proceedings of the 10th international conference on Automated Technology for Verification and Analysis
A vision for behavioural model-driven validation of software product lines
ISoLA'12 Proceedings of the 5th international conference on Leveraging Applications of Formal Methods, Verification and Validation: technologies for mastering change - Volume Part I
Statistical model checking qos properties of systems with SBIP
ISoLA'12 Proceedings of the 5th international conference on Leveraging Applications of Formal Methods, Verification and Validation: technologies for mastering change - Volume Part I
Automated generation of safety requirements from railway interlocking tables
ISoLA'12 Proceedings of the 5th international conference on Leveraging Applications of Formal Methods, Verification and Validation: applications and case studies - Volume Part II
Proceedings of the 12th International Middleware Conference
Ontology-based governance of data-aware processes
RR'12 Proceedings of the 6th international conference on Web Reasoning and Rule Systems
Programming and Computing Software
Implicative simultaneous satisfiability and applications
HVC'11 Proceedings of the 7th international Haifa Verification conference on Hardware and Software: verification and testing
On-Line detection and prediction of temporal patterns
HVC'11 Proceedings of the 7th international Haifa Verification conference on Hardware and Software: verification and testing
Incremental formal verification for model refining
Proceedings of the Workshop on Model-Driven Engineering, Verification and Validation
Compositional reverification of probabilistic safety properties for large-scale complex IT systems
Proceedings of the 17th Monterey conference on Large-Scale Complex IT Systems: development, operation and management
Extreme symmetries in complex distributed systems: the bag-oriented approach
Proceedings of the 17th Monterey conference on Large-Scale Complex IT Systems: development, operation and management
Towards communication-based steering of complex distributed systems
Proceedings of the 17th Monterey conference on Large-Scale Complex IT Systems: development, operation and management
Automatic generation of provably correct embedded systems
ICFEM'12 Proceedings of the 14th international conference on Formal Engineering Methods: formal methods and software engineering
Proceedings of the International Conference on Computer-Aided Design
Proceedings of the Winter Simulation Conference
Automated Comparison of State-Based Software Models in Terms of Their Language and Structure
ACM Transactions on Software Engineering and Methodology (TOSEM)
Improving model checking with context modelling
Advances in Software Engineering
Runtime verification for multicore SoC with high-quality trace data
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Compliance by design for artifact-centric business processes
Information Systems
Automatic behavior composition synthesis
Artificial Intelligence
Mohawk: Abstraction-Refinement and Bound-Estimation for Verifying Access Control Policies
ACM Transactions on Information and System Security (TISSEC)
Dependability in Pervasive Computing: Challenges and Chances
Journal of Information Technology Research
Reasoning About Agent Types and the Hardest Logic Puzzle Ever
Minds and Machines
Metamodeling to Control and Audit E-Commerce Web Applications
International Journal of Electronic Commerce
SAT-based model checking: interpolation, IC3 and beyond
TACAS'13 Proceedings of the 19th international conference on Tools and Algorithms for the Construction and Analysis of Systems
TACAS'13 Proceedings of the 19th international conference on Tools and Algorithms for the Construction and Analysis of Systems
Intertwined forward-backward reachability analysis using interpolants
TACAS'13 Proceedings of the 19th international conference on Tools and Algorithms for the Construction and Analysis of Systems
Efficient property preservation checking of model refinements
TACAS'13 Proceedings of the 19th international conference on Tools and Algorithms for the Construction and Analysis of Systems
Runtime verification of multi-agent systems interaction quality
ACIIDS'13 Proceedings of the 5th Asian conference on Intelligent Information and Database Systems - Volume Part I
Software simulation and verification to increase the reliability of Intelligent Environments
Advances in Engineering Software
The duality of state and observation in probabilistic transition systems
TbiLLC'11 Proceedings of the 9th international conference on Logic, Language, and Computation
UVHM: model checking based formal analysis scheme for hypervisors
ICT-EurAsia'13 Proceedings of the 2013 international conference on Information and Communication Technology
Trustworthy opportunistic access to the internet of services
ICT-EurAsia'13 Proceedings of the 2013 international conference on Information and Communication Technology
An approximation algorithm for box abstraction of transition systems on real state spaces
Formal Methods in System Design
A process-algebraic semantics for generalised nonblocking
CATS '11 Proceedings of the Seventeenth Computing: The Australasian Theory Symposium - Volume 119
CATS '11 Proceedings of the Seventeenth Computing: The Australasian Theory Symposium - Volume 119
Verification of relational data-centric dynamic systems with external services
Proceedings of the 32nd symposium on Principles of database systems
DCCL: verification of component systems with ensembles
Proceedings of the 16th International ACM Sigsoft symposium on Component-based software engineering
An incremental verification framework for component-based software systems
Proceedings of the 16th International ACM Sigsoft symposium on Component-based software engineering
Active continuous quality control
Proceedings of the 16th International ACM Sigsoft symposium on Component-based software engineering
A defeasible logic of intention
MICAI'12 Proceedings of the 11th Mexican international conference on Advances in Artificial Intelligence - Volume Part I
An interpolation based crossover operator for genetic programming
Proceedings of the 15th annual conference companion on Genetic and evolutionary computation
A process-algebraic semantics for generalised nonblocking
CATS 2011 Proceedings of the Seventeenth Computing on The Australasian Theory Symposium - Volume 119
CATS 2011 Proceedings of the Seventeenth Computing on The Australasian Theory Symposium - Volume 119
A Model Slicing Method for Workflow Verification
Electronic Notes in Theoretical Computer Science (ENTCS)
Combining model checking and testing with an application to reliability prediction and distribution
Proceedings of the 2013 International Symposium on Software Testing and Analysis
Proving the correctness of nonblocking data structures
Communications of the ACM
A logic of probabilistic knowledge and strategy
Proceedings of the 2013 international conference on Autonomous agents and multi-agent systems
Automatic verification of parameterised multi-agent systems
Proceedings of the 2013 international conference on Autonomous agents and multi-agent systems
Evolving protocols and agents in multiagent systems
Proceedings of the 2013 international conference on Autonomous agents and multi-agent systems
On the aggregation problem for synthesized Web services
Journal of Computer and System Sciences
Model Checking Higher-Order Programs
Journal of the ACM (JACM)
Proving the Correctness of Nonblocking Data Structures
Queue - Concurrency
Scalable analysis of variable software
Proceedings of the 2013 9th Joint Meeting on Foundations of Software Engineering
Experimentally driven verification of synthetic biological circuits
DATE '12 Proceedings of the Conference on Design, Automation and Test in Europe
A guiding coverage metric for formal verification
DATE '12 Proceedings of the Conference on Design, Automation and Test in Europe
Eliminating invariants in UML/OCL models
DATE '12 Proceedings of the Conference on Design, Automation and Test in Europe
Communications of the ACM
Mathematical Specification and Logic Modelling in the context of IR
Proceedings of the 2013 Conference on the Theory of Information Retrieval
Systematic management of simulation state for multi-branch simulations in simulink
Proceedings of the Symposium on Theory of Modeling & Simulation - DEVS Integrative M&S Symposium
Proceedings of the 17th International Software Product Line Conference co-located workshops
Making parallel programs reliable with stable multithreading
Communications of the ACM
State-based model slicing: A survey
ACM Computing Surveys (CSUR)
Loop summarization using state and transition invariants
Formal Methods in System Design
Abstraction and Idealization in the Formal Verification of Software Systems
Minds and Machines
Loop invariants: Analysis, classification, and examples
ACM Computing Surveys (CSUR)
SensorChecker: reachability verification in mission-oriented sensor networks
Proceedings of the 2nd ACM annual international workshop on Mission-oriented wireless sensor networking
Description logic knowledge and action bases
Journal of Artificial Intelligence Research
Family-based performance measurement
Proceedings of the 12th international conference on Generative programming: concepts & experiences
ACM Computing Surveys (CSUR)
Propositional temporal proving with reductions to a SAT problem
CADE'13 Proceedings of the 24th international conference on Automated Deduction
Distributed explicit state model checking of deadlock freedom
CAV'13 Proceedings of the 25th international conference on Computer Aided Verification
Efficient robust monitoring for STL
CAV'13 Proceedings of the 25th international conference on Computer Aided Verification
Importance splitting for statistical model checking rare properties
CAV'13 Proceedings of the 25th international conference on Computer Aided Verification
Towards distributed software model-checking using decision diagrams
CAV'13 Proceedings of the 25th international conference on Computer Aided Verification
Distributed LTL Model Checking with Hash Compaction
Electronic Notes in Theoretical Computer Science (ENTCS)
Combined model checking for temporal, probabilistic, and real-time logics
Theoretical Computer Science
Leveraging accelerated simulation for floating-point regression
HVC'12 Proceedings of the 8th international conference on Hardware and Software: verification and testing
Verification and synthesis in description logic based dynamic systems
RR'13 Proceedings of the 7th international conference on Web Reasoning and Rule Systems
Stochastic parity games on lossy channel systems
QEST'13 Proceedings of the 10th international conference on Quantitative Evaluation of Systems
Context-dependent product line engineering with lightweight formal approaches
Science of Computer Programming
Formal modeling of robot behavior with learning
Neural Computation
Information Processing Letters
Verification of inconsistency-aware knowledge and action bases
IJCAI'13 Proceedings of the Twenty-Third international joint conference on Artificial Intelligence
An epistemic Halpern-Shoham logic
IJCAI'13 Proceedings of the Twenty-Third international joint conference on Artificial Intelligence
Causal inference with rare events in large-scale time-series data
IJCAI'13 Proceedings of the Twenty-Third international joint conference on Artificial Intelligence
IJCAI'13 Proceedings of the Twenty-Third international joint conference on Artificial Intelligence
Vibes: A visual language for specifying behavioral requirements of algorithms
Journal of Visual Languages and Computing
Synchronous digital circuits as functional programs
ACM Computing Surveys (CSUR)
Dynamite: A tool for the verification of alloy models based on PVS
ACM Transactions on Software Engineering and Methodology (TOSEM)
Pre-orders for reasoning about stability properties with respect to input of hybrid systems
Proceedings of the Eleventh ACM International Conference on Embedded Software
Verification of complex dynamic data tree with mu-calculus
Automated Software Engineering
Model of distributed computing system operation with time
Programming and Computing Software
Computational & Mathematical Organization Theory
Behavior modeling and automated verification of Web services
Information Sciences: an International Journal
Observations on formal safety analysis in practice
Science of Computer Programming
A property-based abstraction framework for SysML activity diagrams
Knowledge-Based Systems
Concurrency control generation for dynamic threads using discrete-event systems
Science of Computer Programming
A symbolic model checking approach to verifying satellite onboard software
Science of Computer Programming
Program Verification: State of the Art, Problems, and Results. II1
Cybernetics and Systems Analysis
Autonomous Agents and Multi-Agent Systems
Formal verification of service-oriented adaptive driver assistance systems
ACM SIGBED Review - Special Issue on the 5th Workshop on Adaptive and Reconfigurable Embedded Systems
A Semantics-based Translation Method for Automated Verification of SystemC TLM Designs
Journal of Electronic Testing: Theory and Applications
Verification and enforcement of access control policies
Formal Methods in System Design
Simulation for lattice-valued doubly labeled transition systems
International Journal of Approximate Reasoning
Formal verification of security properties in trust management policy
Journal of Computer Security
Synthesizing Concurrent Programs Using Answer Set Programming
Fundamenta Informaticae - Concurrency Specification and Programming CS&P
On Conditions for Modular Verification in Systems of Synchronising Components
Fundamenta Informaticae - Concurrency Specification and Programming CS&P
A Translation of the Existential Model Checking Problem from MITL to HLTL
Fundamenta Informaticae
On Modal μ-Calculus in S5 and Applications
Fundamenta Informaticae - Special Issue on the Italian Conference on Computational Logic: CILC 2011
Controlling Polyvariance for Specialization-based Verification
Fundamenta Informaticae - Special Issue on the Italian Conference on Computational Logic: CILC 2011
Proving Theorems by Program Transformation
Fundamenta Informaticae - To Andrzej Skowron on His 70th Birthday
A Sweep-Line Method for Büchi Automata-based Model Checking
Fundamenta Informaticae - Application and Theory of Petri Nets and Concurrency, 2012
Hi-index | 0.10 |