Exploiting fast matrix multiplication within the level 3 BLAS
ACM Transactions on Mathematical Software (TOMS)
Fitting Parameterized Three-Dimensional Models to Images
IEEE Transactions on Pattern Analysis and Machine Intelligence
Analysis of Ada-LINPACK benchmark results
ACM SIGAda Ada Letters
Stability of block algorithms with fast level-3 BLAS
ACM Transactions on Mathematical Software (TOMS)
Linear constraints for deformable non-uniform B-spline surfaces
I3D '92 Proceedings of the 1992 symposium on Interactive 3D graphics
The design of floating-point data types
ACM Letters on Programming Languages and Systems (LOPLAS)
On computing condition numbers for the nonsymmetric eigenproblem
ACM Transactions on Mathematical Software (TOMS)
QR-like algorithms for the nonsymmetric eigenvalue problem
ACM Transactions on Mathematical Software (TOMS)
An application of least squares fit mapping to text information retrieval
SIGIR '93 Proceedings of the 16th annual international ACM SIGIR conference on Research and development in information retrieval
Reducing synchronization on the parallel Davidson method for the large sparse, eigenvalue problem
Proceedings of the 1993 ACM/IEEE conference on Supercomputing
An example-based mapping method for text categorization and retrieval
ACM Transactions on Information Systems (TOIS)
Index Transformation Algorithms in a Linear Algebra Framework
IEEE Transactions on Parallel and Distributed Systems
A primal null-space affine-scaling method
ACM Transactions on Mathematical Software (TOMS)
Floating Point Fault Tolerance with Backward Error Assertions
IEEE Transactions on Computers - Special issue on fault-tolerant computing
Noise reduction in a statistical approach to text categorization
SIGIR '95 Proceedings of the 18th annual international ACM SIGIR conference on Research and development in information retrieval
Design based analog testing by Characteristic Observation Inference
ICCAD '95 Proceedings of the 1995 IEEE/ACM international conference on Computer-aided design
A novel methodology for statistical parameter extraction
ICCAD '95 Proceedings of the 1995 IEEE/ACM international conference on Computer-aided design
A three-dimensional approach to parallel matrix multiplication
IBM Journal of Research and Development
An incremental algorithm for satisfying hierarchies of multiway dataflow constraints
ACM Transactions on Programming Languages and Systems (TOPLAS)
ACM Transactions on Mathematical Software (TOMS)
The computation of elementary unitary matrices
ACM Transactions on Mathematical Software (TOMS)
Incorporating latent semantic indexing into a neural network model for information retrieval
CIKM '96 Proceedings of the fifth international conference on Information and knowledge management
Design of robust test criteria in analog testing
Proceedings of the 1996 IEEE/ACM international conference on Computer-aided design
Lines and Points in Three Views and the Trifocal Tensor
International Journal of Computer Vision
The linearity of low frequency traffic flow: an intrinsic I/O property in queueing systems
IEEE/ACM Transactions on Networking (TON)
Mean-field theory for batched TD (&lgr;)
Neural Computation
Auto-blocking matrix-multiplication or tracking BLAS3 performance from source code
PPOPP '97 Proceedings of the sixth ACM SIGPLAN symposium on Principles and practice of parallel programming
Practical experience in the numerical dangers of heterogeneous computing
ACM Transactions on Mathematical Software (TOMS)
Compiler blockability of dense matrix factorizations
ACM Transactions on Mathematical Software (TOMS)
Efficient householder QR factorization for superscalar processors
ACM Transactions on Mathematical Software (TOMS)
A fast hierarchical algorithm for 3-D capacitance extraction
DAC '98 Proceedings of the 35th annual Design Automation Conference
Algorithms for block bidiagonal systems on vector and parallel computers
ICS '98 Proceedings of the 12th international conference on Supercomputing
Regression analysis of multiple protein structures
RECOMB '98 Proceedings of the second annual international conference on Computational molecular biology
Algorithm 777: HOMPACK90: a suite of Fortran 90 codes for globally convergent homotopy algorithms
ACM Transactions on Mathematical Software (TOMS)
Recursion leads to automatic variable blocking for dense linear-algebra algorithms
IBM Journal of Research and Development
Synthesizing realistic facial expressions from photographs
Proceedings of the 25th annual conference on Computer graphics and interactive techniques
The logarithmic number system for strength reduction in adaptive filtering
ISLPED '98 Proceedings of the 1998 international symposium on Low power electronics and design
Singular systems of polynomials
ISSAC '98 Proceedings of the 1998 international symposium on Symbolic and algebraic computation
Expokit: a software package for computing matrix exponentials
ACM Transactions on Mathematical Software (TOMS)
Computing rank-revealing QR factorizations of dense matrices
ACM Transactions on Mathematical Software (TOMS)
A semidiscrete matrix decomposition for latent semantic indexing information retrieval
ACM Transactions on Information Systems (TOIS)
The design, implementation, and evaluation of Jade
ACM Transactions on Programming Languages and Systems (TOPLAS)
A multiresolution framework for variational subdivision
ACM Transactions on Graphics (TOG)
Reliable and Efficient Computation of Optical Flow
International Journal of Computer Vision
Multibody Grouping from Motion Images
International Journal of Computer Vision
On the Estimation of Markov Random Field Parameters
IEEE Transactions on Pattern Analysis and Machine Intelligence
Fast detection of common geometric substructure in proteins
RECOMB '99 Proceedings of the third annual international conference on Computational molecular biology
Mastrovito Multiplier for All Trinomials
IEEE Transactions on Computers
Model order-reduction of RC(L) interconnect including variational analysis
Proceedings of the 36th annual ACM/IEEE Design Automation Conference
A Group-Theoretic Framework for the Construction of Packings in Grassmannian Spaces
Journal of Algebraic Combinatorics: An International Journal
Blocked algorithms and software for reduction of a regular matrix pair to generalized Schur form
ACM Transactions on Mathematical Software (TOMS)
International Journal of Computer Vision
Exact computations of the inertia symmetric integer matrices
STOC '00 Proceedings of the thirty-second annual ACM symposium on Theory of computing
International Journal of Computer Vision
Electromagnetic parasitic extraction via a multipole method with hierarchical refinement
ICCAD '99 Proceedings of the 1999 IEEE/ACM international conference on Computer-aided design
The Journal of Supercomputing
Interacting with smoke and fire in real time
Communications of the ACM
Mastrovito Multiplier for General Irreducible Polynomials
IEEE Transactions on Computers
Parallel Partial Stabilizing Algorithms for Large Linear Control Systems
The Journal of Supercomputing
Computational Economics - Computational Studies at Stanford
Proceedings of the 27th annual conference on Computer graphics and interactive techniques
Proceedings of the 27th annual conference on Computer graphics and interactive techniques
Progressive geometry compression
Proceedings of the 27th annual conference on Computer graphics and interactive techniques
Solving projective complete intersection faster
ISSAC '00 Proceedings of the 2000 international symposium on Symbolic and algebraic computation
The Analysis and Recognition of Real-World Textures in Three Dimensions
IEEE Transactions on Pattern Analysis and Machine Intelligence
ACM Transactions on Mathematical Software (TOMS)
HPFBench: a high performance Fortran benchmark suite
ACM Transactions on Mathematical Software (TOMS)
Heteroscedastic Regression in Computer Vision: Problems with Bilinear Constraint
International Journal of Computer Vision - Special issue on a special section on visual surveillance
Monte Carlo arithmetic: how to gamble with floating point and win
Computing in Science and Engineering
A cost model for query processing in high dimensional data spaces
ACM Transactions on Database Systems (TODS)
Algorithm 805: computation and uses of the semidiscrete matrix decomposition
ACM Transactions on Mathematical Software (TOMS)
Information retrieval on the web
ACM Computing Surveys (CSUR)
Efficient Linear Solution of Exterior Orientation
IEEE Transactions on Pattern Analysis and Machine Intelligence
A framework for symmetric band reduction
ACM Transactions on Mathematical Software (TOMS)
Algorithm 807: The SBR Toolbox—software for successive band reduction
ACM Transactions on Mathematical Software (TOMS)
International Journal of Computer Vision
Efficient inductance extraction via windowing
Proceedings of the conference on Design, automation and test in Europe
Proceedings of the conference on Design, automation and test in Europe
Supercomputing '96 Proceedings of the 1996 ACM/IEEE conference on Supercomputing
A graphical tool for driving the parallel computation of pseudosprectra
ICS '01 Proceedings of the 15th international conference on Supercomputing
Modeling magnetic coupling for on-chip interconnect
Proceedings of the 38th annual Design Automation Conference
Blocking and array contraction across arbitrarily nested loops using affine partitioning
PPoPP '01 Proceedings of the eighth ACM SIGPLAN symposium on Principles and practices of parallel programming
A Fast MAP Algorithm for High-Resolution Image Reconstruction with Multisensors
Multidimensional Systems and Signal Processing
Fast computation of low rank matrix approximations
STOC '01 Proceedings of the thirty-third annual ACM symposium on Theory of computing
STOC '01 Proceedings of the thirty-third annual ACM symposium on Theory of computing
ACM Transactions on Internet Technology (TOIT)
Feature sensitive surface extraction from volume data
Proceedings of the 28th annual conference on Computer graphics and interactive techniques
A recursive formulation of Cholesky factorization of a matrix in packed storage
ACM Transactions on Mathematical Software (TOMS)
Towards factoring bivariate approximate polynomials
Proceedings of the 2001 international symposium on Symbolic and algebraic computation
Polynomial root finding using iterated Eigenvalue computation
Proceedings of the 2001 international symposium on Symbolic and algebraic computation
IEEE Transactions on Computers
Proximal support vector machine classifiers
Proceedings of the seventh ACM SIGKDD international conference on Knowledge discovery and data mining
Bipartite graph partitioning and data clustering
Proceedings of the tenth international conference on Information and knowledge management
Using model dataflow graphs to reduce the storage requirements of constraints
ACM Transactions on Computer-Human Interaction (TOCHI)
Polynomial cost approximations in markov decision theory based call admission control
IEEE/ACM Transactions on Networking (TON)
A Fast Nearest-Neighbor Algorithm Based on a Principal Axis Search Tree
IEEE Transactions on Pattern Analysis and Machine Intelligence
Fast Reverse Jacket Transform As an Alternative Representation of the N-Point Fast Fourier Transform
Journal of Mathematical Imaging and Vision
High performance software on Intel Pentium Pro processors or Micro-Ops to TeraFLOPS
SC '97 Proceedings of the 1997 ACM/IEEE conference on Supercomputing
Two- and Three-Dimensional Poisson–Nernst–Planck Simulations of Current Flow Through Gramicidin A
Journal of Scientific Computing
ACM Transactions on Mathematical Software (TOMS)
Proceedings of the 2002 ACM SIGGRAPH/Eurographics symposium on Computer animation
EigenSkin: real time large deformation character skinning in hardware
Proceedings of the 2002 ACM SIGGRAPH/Eurographics symposium on Computer animation
An inference implementation based on extended weighted finite automata
ACSC '01 Proceedings of the 24th Australasian conference on Computer science
Advanced algorithmic approaches to medical image segmentation
An updated set of basic linear algebra subprograms (BLAS)
ACM Transactions on Mathematical Software (TOMS)
On computing givens rotations reliably and efficiently
ACM Transactions on Mathematical Software (TOMS)
ACM Transactions on Mathematical Software (TOMS)
Optimum multiuser detection for CDMA systems using the mean field annealing neural network
Hardware implementation of intelligent systems
Personalized web search by mapping user queries to categories
Proceedings of the eleventh international conference on Information and knowledge management
Algebraic splitting for incompressible Navier-Stokes equations
Journal of Computational Physics
A Note on the Calculation of Step-Lengths in Interior-Point Methods for Semidefinite Programming
Computational Optimization and Applications
Empirical Study of a 3D Visualization for Information Retrieval Tasks
Journal of Intelligent Information Systems - Special issue: A survey of research questions for intelligent information systems in education
ACM Transactions on Graphics (TOG)
Bifurcation analysis of incompressible flow in a driven cavity by the Newton-Picard method
Journal of Computational and Applied Mathematics - Special issue: Proceedings of the 9th International Congress on computational and applied mathematics
ACM Transactions on Mathematical Software (TOMS)
A Distributed Algorithm for Content Based Indexing of Images by Projections on Ritz Primary Images
Data Mining and Knowledge Discovery
Principal Direction Divisive Partitioning
Data Mining and Knowledge Discovery
Improved Query Matching Using kd-Trees: A Latent Semantic Indexing Enhancement
Information Retrieval
Text Categorization Based on Regularized Linear Classification Methods
Information Retrieval
Related, but not Relevant: Content-Based Collaborative Filtering in TREC-8
Information Retrieval
Hierarchical Clustering Using Non-Greedy Principal Direction Divisive Partitioning
Information Retrieval
Journal of Intelligent and Robotic Systems
Generating Convex Polynomial Inequalities for Mixed 0–1 Programs
Journal of Global Optimization
Event-Driven Video Abstraction and Visualization
Multimedia Tools and Applications
Multi-Frame Correspondence Estimation Using Subspace Constraints
International Journal of Computer Vision
Modeling and Animating Realistic Faces from Images
International Journal of Computer Vision
Journal of VLSI Signal Processing Systems
On Blind Timing Acquisition and Channel Estimation for Wideband Multiuser DS-CDMA Systems
Journal of VLSI Signal Processing Systems
Efficient VLSI Architectures for Multiuser Channel Estimation in Wireless Base-Station Receivers
Journal of VLSI Signal Processing Systems
Second Order Nonstationary Source Separation
Journal of VLSI Signal Processing Systems
On a differential equation approach to the weighted orthogonal Procrustes problem
Statistics and Computing
Over-relaxation methods and coupled Markov chains for Monte Carlo simulation
Statistics and Computing
A half-quadratic block-coordinate descent method for spectral estimation
Signal Processing
Challenges in power-ground integrity
Proceedings of the 2001 IEEE/ACM international conference on Computer-aided design
New equations and iterative algorithm for blind separation of sources
Signal Processing
Contravariant adaptation on structured matrix spaces
Signal Processing
Blind source separation using the maximum signal fraction approach
Signal Processing
Interpolation of the extended boolean retrieval model
Information Processing and Management: an International Journal
Signal Processing - Image and Video Coding beyond Standards
Parallel Approaches for Singular Value Decomposition as Applied to Robotic Manipulator Jacobians
International Journal of Parallel Programming
A methodology towards automatic implementation of N-body algorithms
Applied Numerical Mathematics - Applied and computational mathematics: Selected papers of the third panamerican workshop Trujillo, Peru, 24-28 April 2000
On mean value solutions for the Helmholtz equation on square grids
Applied Numerical Mathematics
The Adjoint Newton Algorithm for Large-Scale Unconstrained Optimization in Meteorology Applications
Computational Optimization and Applications
Computational Optimization and Applications
Large-Scale Active-Set Box-Constrained Optimization Method with Spectral Projected Gradients
Computational Optimization and Applications
Computational Optimization and Applications
EVFIT, a MATLAB® program for selecting the best exchange vectors to represent mineral formulae
Computers & Geosciences
Geophysical data analysis using Python
Computers & Geosciences
The Problem of Sparse Image Coding
Journal of Mathematical Imaging and Vision
Parallel algorithms for LQ optimal control of discrete-time periodic linear systems
Journal of Parallel and Distributed Computing
Journal of Global Optimization
International Journal of Computer Vision
Aspects of discontinuous Galerkin methods for hyperbolic conservation laws
Finite Elements in Analysis and Design - Robert J. Melosh medal competition
Least Squares Policy Evaluation Algorithms with Linear Function Approximation
Discrete Event Dynamic Systems
Journal of Electronic Testing: Theory and Applications
Estimation of VAR Models: Computational Aspects
Computational Economics
Parallel restricted maximum likelihood estimation for linear models with a dense exogenous matrix
Parallel Computing - Parallel matrix algorithms and applications
An iterated eigenvalue algorithm for approximating roots of univariate polynomials
Journal of Symbolic Computation - Computer algebra: Selected papers from ISSAC 2001
Theme Editor's Introduction: Computational Inverse Problems in Medicine
IEEE Computational Science & Engineering
Computing in Science and Engineering
Experiments with Curvature-Continuous Patch-Boundary Fitting
IEEE Computer Graphics and Applications
Extracting Realistic 3D Facial Animation Parameters from Multiview Video Clips
IEEE Computer Graphics and Applications
A Unified Framework for the Performability Evaluation of Fault-Tolerant Computer Systems
IEEE Transactions on Computers
An Overlaying Technique for Solving Linear Equations in Real-Time Computing
IEEE Transactions on Computers
Polynomial Time Testability of Circuits Generated by Input Decomposition
IEEE Transactions on Computers
Faster Numerical Algorithms Via Exception Handling
IEEE Transactions on Computers
IEEE Transactions on Computers
A Frequency Domain Technique for Range Data Registration
IEEE Transactions on Pattern Analysis and Machine Intelligence
IEEE Transactions on Pattern Analysis and Machine Intelligence
HARP: An Open Architecture for Parallel Matrix and Signal Processing
IEEE Transactions on Parallel and Distributed Systems
Very large electronic structure calculations using an out-of-core filter-diagonalization method
Journal of Computational Physics
Differential space-time block code modulation for DS-CDMA systems
EURASIP Journal on Applied Signal Processing - Space-time coding and its applications - part I
Parallel approaches for singular value decomposition as applied to robotic manipulator Jacobians
International Journal of Parallel Programming
Total least squares fitting of Bézier and B-spline curves to ordered data
Computer Aided Geometric Design
Preconditioning techniques for large linear systems: a survey
Journal of Computational Physics
Journal of Computational and Applied Mathematics
Products of Gaussians and probabilistic minor component analysis
Neural Computation
Large scale least squares scattered data fitting
Applied Numerical Mathematics
The restarted QR-algorithm for eigenvalue computation of structured matrices
Journal of Computational and Applied Mathematics
Orthogonal polyanalytic polynomials and normal matrices
Mathematics of Computation
Large a polynomial-time nuclear vector replacement algorithm for automated NMR resonance assignments
RECOMB '03 Proceedings of the seventh annual international conference on Research in computational molecular biology
Efficient algebraic solution of reaction-diffusion systems for the cardiac excitation process
Journal of Computational and Applied Mathematics
Dissimilarity computation through low rank corrections
Pattern Recognition Letters
ACM Transactions on Mathematical Software (TOMS)
Fast adaptive eigenvalue decomposition: a maximum likelihood approach
Signal Processing
Efficiently building a matrix to rotate one vector to another
Journal of Graphics Tools
SMO algorithm for least-squares SVM formulations
Neural Computation
ECCV '00 Proceedings of the 6th European Conference on Computer Vision-Part II
A Combinatorial Scheme for Developing Efficient Composite Solvers
ICCS '02 Proceedings of the International Conference on Computational Science-Part II
Finding Steady State of Safety Systems Using the Monte Carlo Method
ICCS '01 Proceedings of the International Conference on Computational Sciences-Part I
Solving Orthogonal Matrix Differential Systems in Mathematica
ICCS '02 Proceedings of the International Conference on Computational Science-Part III
Mixed Monte Carlo Parallel Algorithms for Matrix Computation
ICCS '02 Proceedings of the International Conference on Computational Science-Part II
A Parallel Quasi-Monte Carlo Method for Solving Systems of Linear Equations
ICCS '02 Proceedings of the International Conference on Computational Science-Part II
ParIC: A Family of Parallel Incomplete Cholesky Preconditioners
HPCN Europe 2000 Proceedings of the 8th International Conference on High-Performance Computing and Networking
LAWRA: Linear Algebra with Recursive Algorithms
PARA '00 Proceedings of the 5th International Workshop on Applied Parallel Computing, New Paradigms for HPC in Industry and Academia
A Fast Minimal Storage Symmetric Indefinite Solver
PARA '00 Proceedings of the 5th International Workshop on Applied Parallel Computing, New Paradigms for HPC in Industry and Academia
Capacity Bounds for the 3-Dimensional (0, 1) Runlength Limited Channel
AAECC-13 Proceedings of the 13th International Symposium on Applied Algebra, Algebraic Algorithms and Error-Correcting Codes
Kernel Based Image Classification
ICANN '01 Proceedings of the International Conference on Artificial Neural Networks
SSA, SVD, QR-cp, and RBF Model Reduction
ICANN '02 Proceedings of the International Conference on Artificial Neural Networks
Gauge Independence in Optimization Algorithms for 3D Vision
ICCV '99 Proceedings of the International Workshop on Vision Algorithms: Theory and Practice
Interactive 3D Modeling from Multiple Images Using Scene Regularities
SMILE'98 Proceedings of the European Workshop on 3D Structure from Multiple Images of Large-Scale Environments
Application of a Class of Preconditioners to Large Scale Linear Programming Problems
Euro-Par '99 Proceedings of the 5th International Euro-Par Conference on Parallel Processing
Experience with a Recursive Perturbation Based Algorithm for Symmetric Indefinite Linear Systems
Euro-Par '99 Proceedings of the 5th International Euro-Par Conference on Parallel Processing
A New Parallel Approach to the Toeplitz Inverse Eigenproblem Using Newton-like Methods
VECPAR '00 Selected Papers and Invited Talks from the 4th International Conference on Vector and Parallel Processing
Blocking Techniques in Numerical Software
ParNum '99 Proceedings of the 4th International ACPC Conference Including Special Tracks on Parallel Numerics and Parallel Computing in Image Processing, Video Processing, and Multimedia: Parallel Computation
Dagstuhl '97, Scientific Visualization
Parallel Monte Carlo Algorithms for Sparse SLAE Using MPI
Proceedings of the 6th European PVM/MPI Users' Group Meeting on Recent Advances in Parallel Virtual Machine and Message Passing Interface
Hybrid Monte Carlo Methods for Matrix Computation
NMA '02 Revised Papers from the 5th International Conference on Numerical Methods and Applications
On the Stability of the Generalized Schur Algorithm
NAA '00 Revised Papers from the Second International Conference on Numerical Analysis and Its Applications
Inversion of Symmetric Matrices in a New Block Packes Storage
NAA '00 Revised Papers from the Second International Conference on Numerical Analysis and Its Applications
Matrix Computations Using Quasirandom Sequences
NAA '00 Revised Papers from the Second International Conference on Numerical Analysis and Its Applications
Mixed OLS-TLS for the Estimation of Dynamic Processes with a Linear Source Term
Proceedings of the 24th DAGM Symposium on Pattern Recognition
The Korean Journal of Computational & Applied Mathematics
Lower dimensional representation of text data in vector space based information retrieval
Computational information retrieval
A new data-mapping scheme for latency-tolerant distributed sparse triangular solution
Proceedings of the 2002 ACM/IEEE conference on Supercomputing
Implicitization of rational surfaces by means of polynomial interpolation
Computer Aided Geometric Design
The triangle method for finding the corner of the L-curve
Applied Numerical Mathematics
Inertia-controlling factorizations for optimization algorithms
Applied Numerical Mathematics
Highly accurate verified error bounds for Krylov type linear system solvers
Applied Numerical Mathematics
Graphical Models - Pacific graphics 2001
Evolutionary partial differential equations for biomedical image processing
Journal of Biomedical Informatics
Evolutionary partial differential equations for biomedical image processing
Computers and Biomedical Research
Computing tall skinny solutions of AX - XB = C
Mathematics and Computers in Simulation - MODELLING 2001 - Second IMACS conference on mathematical modelling and computational methods in mechanics, physics, biomechanics and geodynamics
Computational Statistics & Data Analysis - Special issue: Computational econometrics
Relaxed Annihilation-Reordering Look-Ahead QRD-RLS Adaptive Filters
Journal of VLSI Signal Processing Systems
High performance sparse static solver in finite element analyses with loop-unrolling
Advances in Engineering Software
Orthonormal integrators based on householder and givens transformations
Future Generation Computer Systems - Special issue: Geometric numerical algorithms
Future Generation Computer Systems - Special issue: Geometric numerical algorithms
Computation of few Lyapunov exponents by geodesic based algorithms
Future Generation Computer Systems - Special issue: Geometric numerical algorithms
A Framework for Robust Subspace Learning
International Journal of Computer Vision - Special Issue on Computational Vision at Brown University
Accurate computation of the smallest eigenvalue of a diagonally dominant M-matrix
Mathematics of Computation
Orthogonal rational functions and tridiagonal matrices
Journal of Computational and Applied Mathematics - Proceedings of the sixth international symposium on orthogonal polynomials, special functions and their applications
Extrapolation methods for accelerating PageRank computations
WWW '03 Proceedings of the 12th international conference on World Wide Web
A new paradigm for ranking pages on the world wide web
WWW '03 Proceedings of the 12th international conference on World Wide Web
Two numerical methods for an inverse problem for the 2-D Helmholtz equation
Journal of Computational Physics
A spectral/B-spline method for the Navier-Stokes equations in unbounded domains
Journal of Computational Physics
An algebraic multigrid solver for analytical placement with layout based clustering
Proceedings of the 40th annual Design Automation Conference
On different facets of regularization theory
Neural Computation
Global convergence rate of recurrently connected neural networks
Neural Computation
Approximation bounds for some sparse kernel regression algorithms
Neural Computation
Image Deblurring: I Can See Clearly Now
Computing in Science and Engineering
Estimating surface normals in noisy point cloud data
Proceedings of the nineteenth annual symposium on Computational geometry
Some properties of centrosymmetric matrices
Applied Mathematics and Computation
Constraints in channel shortening equalizer design for DMT-based systems
Signal Processing
SuperLU_DIST: A scalable distributed-memory sparse direct solver for unsymmetric linear systems
ACM Transactions on Mathematical Software (TOMS)
Formal derivation of algorithms: The triangular sylvester equation
ACM Transactions on Mathematical Software (TOMS)
Towards certified irreducibility testing of bivariate approximate polynomials
Proceedings of the 2002 international symposium on Symbolic and algebraic computation
QR factorization with Morton-ordered quadtree matrices for memory re-use and parallelism
Proceedings of the ninth ACM SIGPLAN symposium on Principles and practice of parallel programming
Parallel algorithms for computing all possible subset regression models using the QR decomposition
Parallel Computing - Special issue: Parallel computing in numerical optimization
Retrieval Performance Improvement through Low Rank Corrections
CBAIVL '99 Proceedings of the IEEE Workshop on Content-Based Access of Image and Video Libraries
A parallel finite element program on a Beowulf cluster
Advances in Engineering Software - Engineering computational technology
Interactive Construction of 3D Models from Panoramic Mosaics
CVPR '98 Proceedings of the IEEE Computer Society Conference on Computer Vision and Pattern Recognition
New conditions on global stability of Cohen-Grossberg neural networks
Neural Computation
Image-based Talking Heads using Radial Basis Functions
TPCG '03 Proceedings of the Theory and Practice of Computer Graphics 2003
Algorithm 826: A parallel eigenvalue routine for complex Hessenberg matrices
ACM Transactions on Mathematical Software (TOMS)
Simulation of cloud dynamics on graphics hardware
Proceedings of the ACM SIGGRAPH/EUROGRAPHICS conference on Graphics hardware
A scalability analysis of classifiers in text categorization
Proceedings of the 26th annual international ACM SIGIR conference on Research and development in informaion retrieval
Robustness of regularized linear classification methods in text categorization
Proceedings of the 26th annual international ACM SIGIR conference on Research and development in informaion retrieval
A 2D high-ß Hall MHD implicit nonlinear solver
Journal of Computational Physics
An efficient method for computing eigenvalues of a real normal matrix
Journal of Parallel and Distributed Computing
Signal Processing - Special section: Hans Wilhelm Schüßler celebrates his 75th birthday
Applied Mathematics and Computation
Condition number of Bott--Duffin inverse and their condition numbers
Applied Mathematics and Computation
Precomputing interactive dynamic deformable scenes
ACM SIGGRAPH 2003 Papers
Computing the square root and logarithm of real P-orthogonal matrix
Applied Numerical Mathematics
Journal of Computational and Applied Mathematics
CSB '03 Proceedings of the IEEE Computer Society Conference on Bioinformatics
Measuring praise and criticism: Inference of semantic orientation from association
ACM Transactions on Information Systems (TOIS)
Lagrangian support vector machines
The Journal of Machine Learning Research
Efficient svm training using low-rank kernel representations
The Journal of Machine Learning Research
ACM Transactions on Programming Languages and Systems (TOPLAS)
A projection method for generalized eigenvalue problems using numerical integration
Journal of Computational and Applied Mathematics - Special issue: Proceedings of the 6th Japan--China joint seminar on numerical mathematics, university of Tsukuba, Japan, 5-9 August 2002
The Direction-of-Arrival Problem: Coming at You
Computing in Science and Engineering
Applied Numerical Mathematics
Inverse q-columns updating methods for solving nonlinear systems of equations
Journal of Computational and Applied Mathematics
Fundamental Limits of Reconstruction-Based Superresolution Algorithms under Local Translation
IEEE Transactions on Pattern Analysis and Machine Intelligence
Links Between Kleinberg's Hubs and Authorities, Correspondence Analysis, and Markov Chains
ICDM '03 Proceedings of the Third IEEE International Conference on Data Mining
Incompressible limits of lattice Boltzmann equations using multiple relaxation times
Journal of Computational Physics
Personalized Web Search For Improving Retrieval Effectiveness
IEEE Transactions on Knowledge and Data Engineering
Continuation of eigendecompositions
Future Generation Computer Systems - Selected papers on theoretical and computational aspects of structural dynamical systems in linear algebra and control
Structure preservation: a challenge in computational control
Future Generation Computer Systems - Selected papers on theoretical and computational aspects of structural dynamical systems in linear algebra and control
Krylov subspace methods for large-scale matrix problems in control
Future Generation Computer Systems - Selected papers on theoretical and computational aspects of structural dynamical systems in linear algebra and control
Journal of Global Optimization
CGLS-GCV: a hybrid algorithm for low-rank-deficient problems
Applied Numerical Mathematics - Special issue: 2nd international workshop on numerical linear algebra, numerical methods for partial differential equations and optimization
Modified ST algorithms and numerical experiments
Applied Numerical Mathematics - Special issue: 2nd international workshop on numerical linear algebra, numerical methods for partial differential equations and optimization
Video summarization and retrieval using singular value decomposition
Multimedia Systems
Error Analysis of Pure Rotation-Based Self-Calibration
IEEE Transactions on Pattern Analysis and Machine Intelligence
Journal of Computational Physics
Matrix bidiagonalization: implementation and evaluation on the Trident processor
Neural, Parallel & Scientific Computations
Regularizing Flows for Constrained Matrix-Valued Images
Journal of Mathematical Imaging and Vision
A ghost-cell immersed boundary method for flow in complex geometry
Journal of Computational Physics
Computational Optimization and Applications
Robust Solution to Fuzzy Identification Problem with Uncertain Data by Regularization
Fuzzy Optimization and Decision Making
Using certes to infer client response time at the web server
ACM Transactions on Computer Systems (TOCS)
State-space truncation methods for parallel model reduction of large-scale systems
Parallel Computing - Special issue: Parallel and distributed scientific and engineering computing
Motion Estimation Using Statistical Learning Theory
IEEE Transactions on Pattern Analysis and Machine Intelligence
Mathematics of Computation
Content-based image retrieval by clustering
MIR '03 Proceedings of the 5th ACM SIGMM international workshop on Multimedia information retrieval
Preconditioned WR-LMF-based method for ODE systems
Journal of Computational and Applied Mathematics
ACM Transactions on Mathematical Software (TOMS)
Exact computation of the medial axis of a polyhedron
Computer Aided Geometric Design
Computing Equilibria in General Equilibrium Models via Interior-pointMethods
Computational Economics
Local SVD inverse of robot Jacobians
Robotica
Perturbation to enhance support vector machines for classification
Journal of Computational and Applied Mathematics - Special issue on proceedings of the international symposium on computational mathematics and applications
Mutual inductance extraction and the dipole approximation
Proceedings of the 2004 international symposium on Physical design
Independent motion detection directly from compressed surveillance video
IWVS '03 First ACM SIGMM international workshop on Video surveillance
Feature sensitive mesh processing
SCCG '03 Proceedings of the 19th spring conference on Computer graphics
Injecting noise for analysing the stability of ICA components
Signal Processing - Special issue on independent components analysis and beyond
Cramer--Rao bound of spatio-temporal linear pre-processing in parameter estimation from sensor array
Signal Processing - Special issue on independent components analysis and beyond
Orthogonal and projected orthogonal matched filter detection
Signal Processing
A Feature Selection Newton Method for Support Vector Machine Classification
Computational Optimization and Applications
Lower bounds on the bounded coefficient complexity of bilinear maps
Journal of the ACM (JACM)
Architecture of an automatically tuned linear algebra library
Parallel Computing
A Linear Least Squares Fit mapping method for information retrieval from natural language texts
COLING '92 Proceedings of the 14th conference on Computational linguistics - Volume 2
An irregular grid method for high-dimensional free-boundary problems in finance
Future Generation Computer Systems - Special issue: Selected numerical algorithms
Another preprocessing algorithm for generalized one-dimensional fast multipole method
Journal of Computational Physics
Passivity-preserving model reduction via a computationally efficient project-and-balance scheme
Proceedings of the 41st annual Design Automation Conference
Proceedings of the 41st annual Design Automation Conference
Approximate factorization of multivariate polynomials via differential equations
ISSAC '04 Proceedings of the 2004 international symposium on Symbolic and algebraic computation
Generating node coordinates for shortest-path computations in transportation networks
Journal of Experimental Algorithmics (JEA)
Using mixture models for collaborative filtering
STOC '04 Proceedings of the thirty-sixth annual ACM symposium on Theory of computing
Efficient algorithms for block downdating of least squares solutions
Applied Numerical Mathematics - Numerical algorithms, parallelism and applications
Accurate conjugate gradient methods for families of shifted systems
Applied Numerical Mathematics - Numerical algorithms, parallelism and applications
Computing smallest singular triplets with implicitly restarted Lanczos bidiagonalization
Applied Numerical Mathematics - Numerical algorithms, parallelism and applications
Fast algorithms for fair dynamic load redistribution in heterogeneous environments
Applied Numerical Mathematics - Numerical algorithms, parallelism and applications
Iterative inversion of structured matrices
Theoretical Computer Science - Algebraic and numerical algorithm
Fast methods for resumming matrix polynomials and Chebyshev matrix polynomials
Journal of Computational Physics
Distributed parallel computing using navigational programming
International Journal of Parallel Programming
Polynomial filtering in latent semantic indexing for information retrieval
Proceedings of the 27th annual international ACM SIGIR conference on Research and development in information retrieval
A new structure for news editing
IBM Systems Journal
True and spurious eigensolutions for the problems with the mixed-type boundary conditions using BEMs
Finite Elements in Analysis and Design
GPCA: an efficient dimension reduction scheme for image compression and retrieval
Proceedings of the tenth ACM SIGKDD international conference on Knowledge discovery and data mining
IDR/QR: an incremental dimension reduction algorithm via QR decomposition
Proceedings of the tenth ACM SIGKDD international conference on Knowledge discovery and data mining
K-means clustering via principal component analysis
ICML '04 Proceedings of the twenty-first international conference on Machine learning
Learning a multivariate Gaussian mixture model with the reversible jump MCMC algorithm
Statistics and Computing
Journal of Computational Physics
Achieving a Common Viewpoint: Yaw, Pitch, and Roll
Computing in Science and Engineering
CSB '04 Proceedings of the 2004 IEEE Computational Systems Bioinformatics Conference
Incremental and Decremental Least Squares Support Vector Machine and Its Application to Drug Design
CSB '04 Proceedings of the 2004 IEEE Computational Systems Bioinformatics Conference
DATE '03 Proceedings of the conference on Design, Automation and Test in Europe - Volume 1
Journal of Computational and Applied Mathematics
Locality preserving clustering for image database
Proceedings of the 12th annual ACM international conference on Multimedia
A finite element algorithm for reanalysis of structures with added degrees of freedom
Finite Elements in Analysis and Design
Eigendecomposition of the multi-channel covariance matrix with applications to SAR-GMTI
Signal Processing - Special section: New trends and findings in antenna array processing for radar
A maximum entropy framework for space-time adaptive processing
Signal Processing - Special section: New trends and findings in antenna array processing for radar
Journal of Computational and Applied Mathematics
IEEE Transactions on Pattern Analysis and Machine Intelligence
Algorithm 839: FIAT, a new paradigm for computing finite element basis functions
ACM Transactions on Mathematical Software (TOMS)
A numerically reliable solution for the squaring-down problem in system design
Applied Numerical Mathematics
Updating the singular value decomposition
Journal of Computational and Applied Mathematics
Neural learning by geometric integration of reduced 'rigid-body' equations
Journal of Computational and Applied Mathematics
Structured perturbations of group inverse and singular linear system with index one
Journal of Computational and Applied Mathematics
Journal of Computational Physics
Time-domain and frequency-domain per-tone equalization for OFDM over doubly selective channels
Signal Processing - Signal processing in communications
Dimension Reduction in Text Classification with Support Vector Machines
The Journal of Machine Learning Research
Smooth Convex Approximation to the Maximum Eigenvalue Function
Journal of Global Optimization
IEEE Transactions on Pattern Analysis and Machine Intelligence
Proceedings of the conference on Design, Automation and Test in Europe - Volume 2
A Theoretical Study of a Generalized Version of Kleinberg's HITS Algorithm
Information Retrieval
The Opie compiler from row-major source to Morton-ordered matrices
WMPI '04 Proceedings of the 3rd workshop on Memory performance issues: in conjunction with the 31st international symposium on computer architecture
Parallel out-of-core computation and updating of the QR factorization
ACM Transactions on Mathematical Software (TOMS)
Improved algorithms for computing determinants and resultants
Journal of Complexity - Special issue: Foundations of computational mathematics 2002 workshops
Transpose-free multiple Lanczos and its application in Padé approximation
Journal of Computational and Applied Mathematics
An Inexact Newton Method Derived from Efficiency Analysis
Journal of Global Optimization
A Two-Stage Linear Discriminant Analysis via QR-Decomposition
IEEE Transactions on Pattern Analysis and Machine Intelligence
A probabilistic model for Latent Semantic Indexing: Research Articles
Journal of the American Society for Information Science and Technology
ACM Transactions on Design Automation of Electronic Systems (TODAES)
CubeSVD: a novel approach to personalized Web search
WWW '05 Proceedings of the 14th international conference on World Wide Web
A matrix-splitting method for symmetric affine second-order cone complementarity problems
Journal of Computational and Applied Mathematics
A unified optimization framework for equalization filter synthesis
Proceedings of the 42nd annual Design Automation Conference
Implementation of hierarchical bases in FEMLAB for simplicial elements
ACM Transactions on Mathematical Software (TOMS)
Three-Dimensional Face Recognition
International Journal of Computer Vision
Can the TPRI structure help us to solve the algebraic eigenproblem?
SODA '05 Proceedings of the sixteenth annual ACM-SIAM symposium on Discrete algorithms
On FastMap and the Convex Hull of Multivariate Data: Toward Fast and Robust Dimension Reduction
IEEE Transactions on Pattern Analysis and Machine Intelligence
Approaches Based on Permutations for Partitioning Sparse Matrices on Multiprocessors
The Journal of Supercomputing
Implicit, view invariant, linear flexible shape modelling
Pattern Recognition Letters - Special issue: Advances in pattern recognition
ACM SIGGRAPH 2005 Papers
ACM SIGGRAPH 2005 Papers
Finite Elements in Analysis and Design - Special issue: The sixteenth annual Robert J. Melosh competition
Clustered SVD strategies in latent semantic indexing
Information Processing and Management: an International Journal
On computing nearest singular hankel matrices
Proceedings of the 2005 international symposium on Symbolic and algebraic computation
Algorithms for symbolic/numeric control of affine dynamical systems
Proceedings of the 2005 international symposium on Symbolic and algebraic computation
Encyclopedia of Computer Science
Encyclopedia of Computer Science
Term norm distribution and its effects on latent semantic indexing
Information Processing and Management: an International Journal
IDR/QR: An Incremental Dimension Reduction Algorithm via QR Decomposition
IEEE Transactions on Knowledge and Data Engineering
IEEE Transactions on Knowledge and Data Engineering
International Journal of High Performance Computing Applications
Improving Memory Hierarchy Performance through Combined Loop Interchange and Multi-Level Fusion
International Journal of High Performance Computing Applications
Parsing Economic Technology Matrices by Triangular Decomposition
Computational Economics
Solving SDGE Models: A New Algorithm for the Sylvester Equation
Computational Economics
On the use of linear programming for unsupervised text classification
Proceedings of the eleventh ACM SIGKDD international conference on Knowledge discovery in data mining
Robust and Accurate Cancer Classification with Gene Expression Profiling
CSB '05 Proceedings of the 2005 IEEE Computational Systems Bioinformatics Conference
Super-Resolution Image Restoration from Blurred Low-Resolution Images
Journal of Mathematical Imaging and Vision
The Journal of Supercomputing
Journal of Computational and Applied Mathematics
Journal of Computational and Applied Mathematics
A nested-grid direct Poisson solver for concentrated source terms
Journal of Computational and Applied Mathematics
Applied Numerical Mathematics - 6th IMACS International symposium on iterative methods in scientific computing
Applied Numerical Mathematics - 6th IMACS International symposium on iterative methods in scientific computing
Recursive filtering of images with symmetric extension
Signal Processing
Spline curve approximation and design by optimal control over the knots
Computing - Geometric modelling dagstuhl 2002
Algebraic analysis of high-pass quantization
ACM Transactions on Graphics (TOG)
Document Clustering Using Locality Preserving Indexing
IEEE Transactions on Knowledge and Data Engineering
Where Are Linear Feature Extraction Methods Applicable?
IEEE Transactions on Pattern Analysis and Machine Intelligence
Distributed PageRank computation based on iterative aggregation-disaggregation methods
Proceedings of the 14th ACM international conference on Information and knowledge management
A Generalized Framework for Network Component Analysis
IEEE/ACM Transactions on Computational Biology and Bioinformatics (TCBB)
Adaptive MLSE Equalizer with Per-Survivor QR Decomposition for Trellis-Coded MIMO Transmission
Wireless Personal Communications: An International Journal
Inverse Polynomial Reconstruction of Two Dimensional Fourier Images
Journal of Scientific Computing
Multisurface Proximal Support Vector Machine Classification via Generalized Eigenvalues
IEEE Transactions on Pattern Analysis and Machine Intelligence
IEEE Transactions on Pattern Analysis and Machine Intelligence
Generalized Low Rank Approximations of Matrices
Machine Learning
Hierarchical Organization in Smooth Dynamical Systems
Artificial Life
Isoperimetric Graph Partitioning for Image Segmentation
IEEE Transactions on Pattern Analysis and Machine Intelligence
Structure in the Enron Email Dataset
Computational & Mathematical Organization Theory
Jointly Analyzing Gene Expression and Copy Number Data in Breast Cancer Using Data Reduction Models
IEEE/ACM Transactions on Computational Biology and Bioinformatics (TCBB)
An adaptive window width/center adjustment system with online training capabilities for MR images
Artificial Intelligence in Medicine
Leave-One-Out Bounds for Support Vector Regression Model Selection
Neural Computation
Improving boundary element methods for parasitic extraction
ASP-DAC '03 Proceedings of the 2003 Asia and South Pacific Design Automation Conference
A parallel hybrid web document clustering algorithm and its performance study
The Journal of Supercomputing - Special issue: Parallel and distributed processing and applications
Structures preserved by the QR-algorithm
Journal of Computational and Applied Mathematics
Relaxed hierarchical power/ground grid analysis
Proceedings of the 2005 Asia and South Pacific Design Automation Conference
Observable Operator Models for Discrete Stochastic Time Series
Neural Computation
Journal of Intelligent and Robotic Systems
Surface mesh segmentation and smooth surface extraction through region growing
Computer Aided Geometric Design
3D Surface acquisition and reconstruction for inspection of raw steel products
Computers in Industry - Special issue: Machine vision
Using process tomography as a sensor for optimal control
Applied Numerical Mathematics
Journal of Computational and Applied Mathematics
EURASIP Journal on Wireless Communications and Networking
Adaptive Nonlinear Discriminant Analysis by Regularized Minimum Squared Errors
IEEE Transactions on Knowledge and Data Engineering
IEEE Transactions on Pattern Analysis and Machine Intelligence
Fast algorithms for IR drop analysis in large power grid
ICCAD '05 Proceedings of the 2005 IEEE/ACM International conference on Computer-aided design
Statistical based link insertion for robust clock network design
ICCAD '05 Proceedings of the 2005 IEEE/ACM International conference on Computer-aided design
An efficient method for terminal reduction of interconnect circuits considering delay variations
ICCAD '05 Proceedings of the 2005 IEEE/ACM International conference on Computer-aided design
Wide Baseline Matching between Unsynchronized Video Sequences
International Journal of Computer Vision
A cyclic block-tridiagonal solver
Advances in Engineering Software
A numerical method for a direct obstacle scattering problem
Applied Numerical Mathematics - Applied scientific computing: Recent approaches to grid generation, approximation and numerical modelling
Robust auto-focusing wideband DOA estimation
Signal Processing
Discrete tomography by convex-concave regularization and D.C. programming
Discrete Applied Mathematics - Special issue: IWCIA 2003 - Ninth international workshop on combinatorial image analysis
Eigenvalue condition numbers: zero-structured versus traditional
Journal of Computational and Applied Mathematics
Exact computation of protein structure similarity
Proceedings of the twenty-second annual symposium on Computational geometry
A general matrix framework for modelling information retrieval
Information Processing and Management: an International Journal - Special issue: Formal methods for information retrieval
Finite element-based force identification of sliding support systems: part I—theory
Finite Elements in Analysis and Design
Finite Elements in Analysis and Design
A fast singular value algorithm for Hankel matrices
Contemporary mathematics
Some convergence estimates for algebraic multilevel preconditioners
Contemporary mathematics
Common pole estimation in multi-channel exponential data modeling
Signal Processing
Algorithms for simultaneous sparse approximation: part I: Greedy pursuit
Signal Processing - Sparse approximations in signal and image processing
Padé and Gregory error estimates for the logarithm of block triangular matrices
Applied Numerical Mathematics
A robust watermarking system based on SVD compression
Proceedings of the 2006 ACM symposium on Applied computing
Improving the performance of reduction to Hessenberg form
ACM Transactions on Mathematical Software (TOMS)
Algorithm 854: Fortran 77 subroutines for computing the eigenvalues of Hamiltonian matrices II
ACM Transactions on Mathematical Software (TOMS)
Locally adapted hierarchical basis preconditioning
ACM SIGGRAPH 2006 Papers
Discriminative cluster analysis
ICML '06 Proceedings of the 23rd international conference on Machine learning
R1-PCA: rotational invariant L1-norm principal component analysis for robust subspace factorization
ICML '06 Proceedings of the 23rd international conference on Machine learning
A variational meshfree method for solving time-discrete diffusion equations
Journal of Computational and Applied Mathematics
Latent semantic analysis for multiple-type interrelated data objects
SIGIR '06 Proceedings of the 29th annual international ACM SIGIR conference on Research and development in information retrieval
Analysis of a low-dimensional linear model under recommendation attacks
SIGIR '06 Proceedings of the 29th annual international ACM SIGIR conference on Research and development in information retrieval
A Legendre spectral element method for eigenvalues in hydrodynamic stability
Journal of Computational and Applied Mathematics
Identification of dynamic systems under closed-loop control
International Journal of Systems Science
Fast additions on masked integers
ACM SIGPLAN Notices
Learning sparse metrics via linear programming
Proceedings of the 12th ACM SIGKDD international conference on Knowledge discovery and data mining
Correlation Statistics for cDNA Microarray Image Analysis
IEEE/ACM Transactions on Computational Biology and Bioinformatics (TCBB)
Feature Reduction via Generalized Uncorrelated Linear Discriminant Analysis
IEEE Transactions on Knowledge and Data Engineering
Feature Extraction Using Information-Theoretic Learning
IEEE Transactions on Pattern Analysis and Machine Intelligence
Estimation of Nonlinear Errors-in-Variables Models for Computer Vision Applications
IEEE Transactions on Pattern Analysis and Machine Intelligence
A view on full-diversity modulus-preserving rate-one linear space-time block codes
Signal Processing - Special section: Advances in signal processing-assisted cross-layer designs
The Gautschi time stepping scheme for edge finite element discretizations of the Maxwell equations
Journal of Computational Physics
Time domain design of fractional differintegrators using least-squares
Signal Processing - Fractional calculus applications in signals and systems
Bitrate maximizing per group equalization for DMT-based systems
Signal Processing - Fractional calculus applications in signals and systems
An importance sampling method for portfolios of credit risky assets
WSC '04 Proceedings of the 36th conference on Winter simulation
A VLSI Architecture of the Square Root Algorithm for V-BLAST Detection
Journal of VLSI Signal Processing Systems
Analyzing block locality in Morton-order and Morton-hybrid matrices
MEDEA '06 Proceedings of the 2006 workshop on MEmory performance: DEaling with Applications, systems and architectures
Similarity of Semantic Relations
Computational Linguistics
Random Walks for Image Segmentation
IEEE Transactions on Pattern Analysis and Machine Intelligence
Enhancement of aligning accuracy on zooming camera for augmented reality
Proceedings of the 2005 ACM SIGCHI International Conference on Advances in computer entertainment technology
Animation space: A truly linear framework for character animation
ACM Transactions on Graphics (TOG)
Efficient model selection for regularized linear discriminant analysis
CIKM '06 Proceedings of the 15th ACM international conference on Information and knowledge management
Synthesizing realistic facial expressions from photographs
ACM SIGGRAPH 2006 Courses
Block algorithms for reordering standard and generalized Schur forms
ACM Transactions on Mathematical Software (TOMS)
Streaming Simplification of Tetrahedral Meshes
IEEE Transactions on Visualization and Computer Graphics
A divide-and-merge methodology for clustering
ACM Transactions on Database Systems (TODS)
A tool for packaging and exchanging simulation results
valuetools '06 Proceedings of the 1st international conference on Performance evaluation methodolgies and tools
Synthesizing realistic facial expressions from photographs
SIGGRAPH '05 ACM SIGGRAPH 2005 Courses
Convergence of Runge-Kutta methods applied to linear partial differential-algebraic equations
Applied Numerical Mathematics - Tenth seminar on and differential-algebraic equations (NUMDIFF-10)
Fast simulation of deformable models in contact using dynamic deformation textures
Proceedings of the 2006 ACM SIGGRAPH/Eurographics symposium on Computer animation
Fast computation of low-rank matrix approximations
Journal of the ACM (JACM)
Tensor-based techniques for the blind separation of DS-CDMA signals
Signal Processing
Survey on tensor signal algebraic filtering
Signal Processing
An adaptive grid refinement strategy for the simulation of negative streamers
Journal of Computational Physics
Handwritten digit classification using higher order singular value decomposition
Pattern Recognition
Expressing implicit semantic relations without supervision
ACL-44 Proceedings of the 21st International Conference on Computational Linguistics and the 44th annual meeting of the Association for Computational Linguistics
A fast kernel-based nonlinear discriminant analysis for multi-class problems
Pattern Recognition
A power-based adaptive method for eigenanalysis without square-root operations
Digital Signal Processing
Blind channel estimation and detection for space--time coded CDMA in ISI channels
Digital Signal Processing
Solution of time-independent Schrödinger equation by the imaginary time propagation method
Journal of Computational Physics
Structured matrices in the application of bivariate interpolation to curve implicitization
Mathematics and Computers in Simulation
Factorizations and representations of the backward second-order linear recurrences
Journal of Computational and Applied Mathematics
Information Sciences: an International Journal
Warm start by Hopfield neural networks for interior point methods
Computers and Operations Research
Compression of magnetohydrodynamic simulation data using singular value decomposition
Journal of Computational Physics
Linking the TPR1, DPR1 and Arrow-Head Matrix Structures
Computers & Mathematics with Applications
Rank reduction and volume minimization approach to state-space subspace system identification
Signal Processing - Special section: Distributed source coding
Binet-Cauchy Kernels on Dynamical Systems and its Application to the Analysis of Dynamic Scenes
International Journal of Computer Vision
A Scalable Configurable Architecture for Advanced Wireless Communication Algorithms
Journal of VLSI Signal Processing Systems
Test Development Through Defect and Test Escape Level Estimation for Data Converters
Journal of Electronic Testing: Theory and Applications
Structured mixed and componentwise condition numbers of some structured matrices
Journal of Computational and Applied Mathematics
Structured pseudospectra and structured sensitivity of eigenvalues
Journal of Computational and Applied Mathematics
Parallel Computing - Algorithmic skeletons
Automatica (Journal of IFAC)
The memory behavior of cache oblivious stencil computations
The Journal of Supercomputing
A COCR method for solving complex symmetric linear systems
Journal of Computational and Applied Mathematics - Special issue: Scientific computing, computer arithmetic, and validated numerics (SCAN 2004)
A method of obtaining verified solutions for linear systems suited for Java
Journal of Computational and Applied Mathematics - Special issue: Scientific computing, computer arithmetic, and validated numerics (SCAN 2004)
Decomposition methods for large linear discrete ill-posed problems
Journal of Computational and Applied Mathematics - Special issue: Applied computational inverse problems
On the local convergence of an iterative approach for inverse singular value problems
Journal of Computational and Applied Mathematics - Special issue: Applied computational inverse problems
Comparison of four natural pixel bases for SPECT imaging
Journal of Computational and Applied Mathematics - Special issue: Applied computational inverse problems
Eigenfrequencies of fractal drums
Journal of Computational and Applied Mathematics
Journal of Computational and Applied Mathematics
Effective condition number for finite difference method
Journal of Computational and Applied Mathematics
Fullwave volumetric Maxwell solver using conduction modes
Proceedings of the 2006 IEEE/ACM international conference on Computer-aided design
Brief paper: Box-Jenkins identification revisited-Part III
Automatica (Journal of IFAC)
Brief paper: A parametric programming approach to moving-horizon state estimation
Automatica (Journal of IFAC)
A physically-based framework for real-time haptic cutting and interaction with 3D continuum models
Proceedings of the 2007 ACM symposium on Solid and physical modeling
Using constraint preconditioners with regularized saddle-point problems
Computational Optimization and Applications
Distributed Jacobi joint diagonalization on clusters of personal computers
International Journal of Parallel Programming
Computational aspects of Worm-Like-Chain interpolation formulas
Computers & Mathematics with Applications
Demographic prediction based on user's browsing behavior
Proceedings of the 16th international conference on World Wide Web
Training a Support Vector Machine in the Primal
Neural Computation
Policy Gradient in Continuous Time
The Journal of Machine Learning Research
Computational and Theoretical Analysis of Null Space and Orthogonal Linear Discriminant Analysis
The Journal of Machine Learning Research
An Efficient Implementation of an Active Set Method for SVMs
The Journal of Machine Learning Research
Preventing Over-Fitting during Model Selection via Bayesian Regularisation of the Hyper-Parameters
The Journal of Machine Learning Research
Dynamical Systems for Discovering Protein Complexes and Functional Modules from Biological Networks
IEEE/ACM Transactions on Computational Biology and Bioinformatics (TCBB)
IEEE Transactions on Pattern Analysis and Machine Intelligence
IEEE Transactions on Pattern Analysis and Machine Intelligence
Twin Support Vector Machines for Pattern Classification
IEEE Transactions on Pattern Analysis and Machine Intelligence
On Semismooth Newton's Methods for Total Variation Minimization
Journal of Mathematical Imaging and Vision
Data distribution schemes of sparse arrays on distributed memory multicomputers
The Journal of Supercomputing
Asymmetric Hermitian and skew-Hermitian splitting methods for positive definite linear systems
Computers & Mathematics with Applications
Enhancing the Effectiveness of Clustering with Spectra Analysis
IEEE Transactions on Knowledge and Data Engineering
Efficient Solution of A, x(k) = b(k) Using A-1
Journal of Scientific Computing
Proceedings of the 24th international conference on Machine learning
Square Root SAM: Simultaneous Localization and Mapping via Square Root Information Smoothing
International Journal of Robotics Research
An operation stacking framework for large ensemble computations
Proceedings of the 21st annual international conference on Supercomputing
Automatica (Journal of IFAC)
Spectral clustering by recursive partitioning
ESA'06 Proceedings of the 14th conference on Annual European Symposium - Volume 14
FastLSM: fast lattice shape matching for robust real-time deformation
ACM SIGGRAPH 2007 papers
A particle swarm algorithm for symbols detection in wideband spatial multiplexing systems
Proceedings of the 9th annual conference on Genetic and evolutionary computation
On probabilistic analysis of randomization in hybrid symbolic-numeric algorithms
Proceedings of the 2007 international workshop on Symbolic-numeric computation
Real implicitization of curves and geometric extraneous components
Proceedings of the 2007 international workshop on Symbolic-numeric computation
The schur aggregation for solving linear systems of equations
Proceedings of the 2007 international workshop on Symbolic-numeric computation
Null space and eigenspace computations with additive preprocessing
Proceedings of the 2007 international workshop on Symbolic-numeric computation
Effect of small rank modification on the condition number of a matrix
Computers & Mathematics with Applications
Proceedings of the 13th ACM SIGKDD international conference on Knowledge discovery and data mining
Differential space-time block code modulation for DS-CDMA systems
EURASIP Journal on Applied Signal Processing
Blind reduced-rank MMSE detector for DS-CDMA systems
EURASIP Journal on Applied Signal Processing
Spectral clustering with limited independence
SODA '07 Proceedings of the eighteenth annual ACM-SIAM symposium on Discrete algorithms
An Efficient Measure of Signal Temporal Predictability for Blind Source Separation
Neural Processing Letters
Iterative computation of negative curvature directions in large scale optimization
Computational Optimization and Applications
Computational Optimization and Applications
On the Consistency of the Normalized Eight-Point Algorithm
Journal of Mathematical Imaging and Vision
EURASIP Journal on Applied Signal Processing
Kalman filters for time delay of arrival-based source localization
EURASIP Journal on Applied Signal Processing
Proceedings of the 2007 international workshop on Domain driven data mining
Journal of Scientific Computing
Segmentation and recognition of motion capture data stream by classification
Multimedia Tools and Applications
Parametric Embedding for Class Visualization
Neural Computation
Application of the evidence procedure to the estimation of wireless channels
EURASIP Journal on Applied Signal Processing
New insights into the RLS algorithm
EURASIP Journal on Applied Signal Processing
EURASIP Journal on Applied Signal Processing
Measuring a linear approximation to weakly nonlinear MIMO systems
Automatica (Journal of IFAC)
A comparative analysis on the bisecting K-means and the PDDP clustering algorithms
Intelligent Data Analysis
Intelligent Data Analysis
Hierarchic higher-order hermite elements on hybrid triangular/quadrilateral meshes
Mathematics and Computers in Simulation
Wireless Personal Communications: An International Journal
Brief paper: H2 optimal ripple-free deadbeat controller design
Automatica (Journal of IFAC)
Compressed network monitoring for ip and all-optical networks
Proceedings of the 7th ACM SIGCOMM conference on Internet measurement
Journal of Mathematical Imaging and Vision
Failure Detection in Large-Scale Internet Services by Principal Subspace Mapping
IEEE Transactions on Knowledge and Data Engineering
Discriminant Subspace Analysis: A Fukunaga-Koontz Approach
IEEE Transactions on Pattern Analysis and Machine Intelligence
IEEE Transactions on Pattern Analysis and Machine Intelligence
Image Analysis Using Hahn Moments
IEEE Transactions on Pattern Analysis and Machine Intelligence
Neural, Parallel & Scientific Computations
On Linear Variational Surface Deformation Methods
IEEE Transactions on Visualization and Computer Graphics
Block variants of Hammarling's method for solving Lyapunov equations
ACM Transactions on Mathematical Software (TOMS)
Subspace-based prediction of linear time-varying stochastic systems
Automatica (Journal of IFAC)
Direct type-specific conic fitting and eigenvalue bias correction
Image and Vision Computing
Hard-Fault Detection and Diagnosis During the Application of Model-Based Data Converter Testing
Journal of Electronic Testing: Theory and Applications
PyTrilinos: High-performance distributed-memory solvers for Python
ACM Transactions on Mathematical Software (TOMS)
On the design of interfaces to sparse direct solvers
ACM Transactions on Mathematical Software (TOMS)
Algorithm 873: LSTRS: MATLAB software for large-scale trust-region subproblems and regularization
ACM Transactions on Mathematical Software (TOMS)
Analyzing block locality in Morton-order and Morton-hybrid matrices
ACM SIGARCH Computer Architecture News
Brief paper: Constrained state-space system identification with application to structural dynamics
Automatica (Journal of IFAC)
Technical communique: Parameter ranking by orthogonalization-Applied to nonlinear mechanistic models
Automatica (Journal of IFAC)
On level-2 condition number for the weighted Moore-Penrose inverse
Computers & Mathematics with Applications
Relaxed forms of BBK algorithm and FBP algorithm for symmetric indefinite linear systems
Computers & Mathematics with Applications
Computational Optimization and Applications
IEEE Transactions on Knowledge and Data Engineering
SRDA: An Efficient Algorithm for Large-Scale Discriminant Analysis
IEEE Transactions on Knowledge and Data Engineering
Expert Systems with Applications: An International Journal
The extended J-spectral factorization for descriptor systems
Automatica (Journal of IFAC)
NN'07 Proceedings of the 8th Conference on 8th WSEAS International Conference on Neural Networks - Volume 8
Additive preconditioning and aggregation in matrix computations
Computers & Mathematics with Applications
Cache efficient bidiagonalization using BLAS 2.5 operators
ACM Transactions on Mathematical Software (TOMS)
Algorithm 875: DSDP5—software for semidefinite programming
ACM Transactions on Mathematical Software (TOMS)
A novel data distortion approach via selective SSVD for privacy protection
International Journal of Information and Computer Security
Partial stabilisation of large-scale discrete-time linear control systems
International Journal of Computational Science and Engineering
Using neural networks to model conditional multivariate densities
Neural Computation
EURASIP Journal on Wireless Communications and Networking
Two Dimensional Aggregation Procedure: An Alternative to the Matrix Algebraic Algorithm
Computational Economics
Consistent computation of first- and second-order differential quantities for surface meshes
Proceedings of the 2008 ACM symposium on Solid and physical modeling
Detection of abrupt structural damage induced by an earthquake using a moving time window technique
Computers and Structures
Scalable Parallel Programming with CUDA
Queue - GPU Computing
The pattern memory of gene-protein networks
AI Communications - Network Analysis in Natural Sciences and Engineering
Efficient implementation of an active set algorithm for large-scale portfolio selection
Computers and Operations Research
Learning to rank relational objects and its application to web search
Proceedings of the 17th international conference on World Wide Web
Integer least squares: sphere decoding and the LLL algorithm
Proceedings of the 2008 C3S2E conference
Eigen-solving via reduction to DPR1 matrices
Computers & Mathematics with Applications
A parallel algorithm based on a variant of the Kalman filter for solving the RLS problem
ISCGAV'04 Proceedings of the 4th WSEAS International Conference on Signal Processing, Computational Geometry & Artificial Vision
Removing ocular movement artefacts by a joint smoothened subspace estimator
Computational Intelligence and Neuroscience - EEG/MEG Signal Processing
Using the total least squares method for seismic correction of recordings from unknown instruments
Advances in Engineering Software
ISTASC'06 Proceedings of the 6th WSEAS International Conference on Systems Theory & Scientific Computation
A highly efficient implementation of a backpropagation learning algorithm using matrix ISA
Journal of Parallel and Distributed Computing
ACM Transactions on Mathematical Software (TOMS)
Algorithm 879: EIGENTEST—a test matrix generator for large-scale eigenproblems
ACM Transactions on Mathematical Software (TOMS)
Algorithm 880: A testing infrastructure for symmetric tridiagonal eigensolvers
ACM Transactions on Mathematical Software (TOMS)
NPAR '08 Proceedings of the 6th international symposium on Non-photorealistic animation and rendering
Lanczos methods in economic Models: visual representation and computing
Math'04 Proceedings of the 5th WSEAS International Conference on Applied Mathematics
Guided perturbation: towards private and accurate mining
The VLDB Journal — The International Journal on Very Large Data Bases
Nonnegative matrix factorization via rank-one downdate
Proceedings of the 25th international conference on Machine learning
Grassmann discriminant analysis: a unifying view on subspace-based learning
Proceedings of the 25th international conference on Machine learning
BrowseRank: letting web users vote for page importance
Proceedings of the 31st annual international ACM SIGIR conference on Research and development in information retrieval
Real-time automatic tag recommendation
Proceedings of the 31st annual international ACM SIGIR conference on Research and development in information retrieval
Comments on the Complete Characterization of a Family of Solutions to a Generalized Fisher Criterion
The Journal of Machine Learning Research
Proceedings of the twenty-first international symposium on Symbolic and algebraic computation
Simultaneous real diagonalization of rectangular quaternionic matrix pairs and its algorithm
Computers & Mathematics with Applications
A 7-step approach to the design and implementation of parallel algorithms
MATH'05 Proceedings of the 7th WSEAS International Conference on Applied Mathematics
Dense Linear Algebra over Word-Size Prime Fields: the FFLAS and FFPACK Packages
ACM Transactions on Mathematical Software (TOMS)
Journal of Systems and Software
Scalable parallel programming with CUDA
ACM SIGGRAPH 2008 classes
Computational Optimization and Applications
Effective and efficient itemset pattern summarization: regression-based approaches
Proceedings of the 14th ACM SIGKDD international conference on Knowledge discovery and data mining
Proceedings of the 14th ACM SIGKDD international conference on Knowledge discovery and data mining
Spectral algorithms for supervised learning
Neural Computation
Hypermatrix oriented supernode amalgamation
The Journal of Supercomputing
Optimization Algorithms on Subspaces: Revisiting Missing Data Problem in Low-Rank Matrix
International Journal of Computer Vision
Random sampling from a search engine's index
Journal of the ACM (JACM)
Condensed matrix method for implicit type scheme in imaginary distance beam propagation method
Journal of Computational Methods in Sciences and Engineering
Detecting Periodically Expression in Unevenly Spaced Microarray Time Series
ICCS '07 Proceedings of the 7th international conference on Computational Science, Part I: ICCS 2007
Extensions of Manifold Learning Algorithms in Kernel Feature Space
ISNN '07 Proceedings of the 4th international symposium on Neural Networks: Advances in Neural Networks
A Robust Online Sequential Extreme Learning Machine
ISNN '07 Proceedings of the 4th international symposium on Neural Networks: Advances in Neural Networks
Neuromorphic Quantum-Based Adaptive Support Vector Regression for Tuning BWGC/NGARCH Forecast Model
ISNN '07 Proceedings of the 4th international symposium on Neural Networks: Advances in Neural Networks, Part III
Affine Feature Extraction: A Generalization of the Fukunaga-Koontz Transformation
MLDM '07 Proceedings of the 5th international conference on Machine Learning and Data Mining in Pattern Recognition
Exploring Content and Linkage Structures for Searching Relevant Web Pages
ADMA '07 Proceedings of the 3rd international conference on Advanced Data Mining and Applications
Applications of the Moving Average of nth -Order Difference Algorithm for Time Series Prediction
ADMA '07 Proceedings of the 3rd international conference on Advanced Data Mining and Applications
Blind Source Separation Coping with the Change of the Number of Sources
Neural Information Processing
Verifiable Multi-secret Sharing Schemes for Multiple Threshold Access Structures
Information Security and Cryptology
Breaking the Curse of Cardinality on Bitmap Indexes
SSDBM '08 Proceedings of the 20th international conference on Scientific and Statistical Database Management
A Path Following Algorithm for Graph Matching
ICISP '08 Proceedings of the 3rd international conference on Image and Signal Processing
Bound for the L2 Norm of Random Matrix and Succinct Matrix Approximation
ICCS '08 Proceedings of the 8th international conference on Computational Science, Part II
Fast Exact Area Image Upsampling with Natural Biquadratic Histosplines
ICIAR '08 Proceedings of the 5th international conference on Image Analysis and Recognition
Parallel Algorithms for Triangular Periodic Sylvester-Type Matrix Equations
Euro-Par '08 Proceedings of the 14th international Euro-Par conference on Parallel Processing
A Unified View of Matrix Factorization Models
ECML PKDD '08 Proceedings of the European conference on Machine Learning and Knowledge Discovery in Databases - Part II
Double Sides 2DPCA for Face Recognition
ICIC '08 Proceedings of the 4th international conference on Intelligent Computing: Advanced Intelligent Computing Theories and Applications - with Aspects of Theoretical and Methodological Issues
Numerical solution of symmetric least-squares problems with an inversion-free Kovarik-type algorithm
International Journal of Computer Mathematics
A multiresolution spectral angle-based hyperspectral classification method
International Journal of Remote Sensing
Regularized least squares fuzzy support vector regression for financial time series forecasting
Expert Systems with Applications: An International Journal
Parallelizing scientific code with invasive interactive parallelization: a case study with reuseware
Proceedings of the 2008 compFrame/HPC-GECO workshop on Component based high performance
Clustering multi-way data via adaptive subspace iteration
Proceedings of the 17th ACM conference on Information and knowledge management
Subspace identification of circulant systems
Automatica (Journal of IFAC)
Computers & Mathematics with Applications
Convergence of gradient-based iterative solution of coupled Markovian jump Lyapunov equations
Computers & Mathematics with Applications
Schur aggregation for linear systems and determinants
Theoretical Computer Science
A numerical elimination method for polynomial computations
Theoretical Computer Science
Multidimensional Noise Removal Method Based on PARAFAC Decomposition
ACIVS '08 Proceedings of the 10th International Conference on Advanced Concepts for Intelligent Vision Systems
Expert Systems with Applications: An International Journal
Robust constrained receding-horizon predictive control via bounded data uncertainties
Mathematics and Computers in Simulation
Cybernetics and Systems Analysis
Novel Incremental Principal Component Analysis with Improved Performance
SSPR & SPR '08 Proceedings of the 2008 Joint IAPR International Workshop on Structural, Syntactic, and Statistical Pattern Recognition
Hierarchical Krylov subspace based reduction of large interconnects
Integration, the VLSI Journal
SBA: A software package for generic sparse bundle adjustment
ACM Transactions on Mathematical Software (TOMS)
Efficient Algorithms to Explore Conformation Spaces of Flexible Protein Loops
IEEE/ACM Transactions on Computational Biology and Bioinformatics (TCBB)
Rank Constraints for Homographies over Two Views: Revisiting the Rank Four Constraint
International Journal of Computer Vision
Dimension detection via slivers
SODA '09 Proceedings of the twentieth Annual ACM-SIAM Symposium on Discrete Algorithms
Simple and interpretable discrimination
Computational Statistics & Data Analysis
KSSOLV—a MATLAB toolbox for solving the Kohn-Sham equations
ACM Transactions on Mathematical Software (TOMS)
Distributed SBP Cholesky factorization algorithms with near-optimal scheduling
ACM Transactions on Mathematical Software (TOMS)
A New Class of Highly Accurate Solvers for Ordinary Differential Equations
Journal of Scientific Computing
Wavelet method for computing energy band diagram of semiconductors
MINO'07 Proceedings of the 6th conference on Microelectronics, nanoelectronics, optoelectronics
Implementing a parallel matrix factorization library on the cell broadband engine
Scientific Programming - High Performance Computing with the Cell Broadband Engine
Geometric Approach to Measure-Based Metric in Image Segmentation
Journal of Mathematical Imaging and Vision
Error Analysis in Homography Estimation by First Order Approximation Tools: A General Technique
Journal of Mathematical Imaging and Vision
Opendda: a Novel High-Performance Computational Framework for the Discrete Dipole Approximation
International Journal of High Performance Computing Applications
Supervised locally linear embedding with probability-based distance for classification
Computers & Mathematics with Applications
A two-directional Arnoldi process and its application to parametric model order reduction
Journal of Computational and Applied Mathematics
Numerical study on incomplete orthogonal factorization preconditioners
Journal of Computational and Applied Mathematics
A generalized inverse eigenvalue problem in structural dynamic model updating
Journal of Computational and Applied Mathematics
An extension of the conjugate residual method to nonsymmetric linear systems
Journal of Computational and Applied Mathematics
Least squares twin support vector machines for pattern classification
Expert Systems with Applications: An International Journal
EURASIP Journal on Advances in Signal Processing
Proceedings of 2nd Workshop on General Purpose Processing on Graphics Processing Units
NetQuest: a flexible framework for large-scale network measurement
IEEE/ACM Transactions on Networking (TON)
Real-time Rigid-body Visual Tracking in a Scanning Electron Microscope
International Journal of Robotics Research
Pressure-Rate Deconvolution Using Nonorthogonal Exponential Functions Dictionary
Journal of Integrated Design & Process Science
Computational Statistics & Data Analysis
IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences
Robust Noise Suppression Algorithm with the Kalman Filter Theory for White and Colored Disturbance
IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences
Downlink Transmission Scheme for Wireless MIMO Broadcast Channels with Multiuser Diversity
IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences
Robust Linear Transmit/Receive Processing for Correlated MIMO Downlink with Imperfect CSI
IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences
3D Animation Compression Using Affine Transformation Matrix and Principal Component Analysis
IEICE - Transactions on Information and Systems
Generation of Training Data by Degradation Models for Traffic Sign Symbol Recognition
IEICE - Transactions on Information and Systems
Fuzzy Rule Extraction from Dynamic Data for Voltage Risk Identification
IEICE - Transactions on Information and Systems
Small Number of Hidden Units for ELM with Two-Stage Linear Model
IEICE - Transactions on Information and Systems
A Real-Time Decision Support System for Voltage Collapse Avoidance in Power Supply Networks
IEICE - Transactions on Information and Systems
Journal of Computational and Applied Mathematics
Programming matrix algorithms-by-blocks for thread-level parallelism
ACM Transactions on Mathematical Software (TOMS)
ACM SIGGRAPH 2009 papers
Factor Analysis as Data Matrix Decomposition: A New Approach for Quasi-Sphering in Noisy ICA
ICA '09 Proceedings of the 8th International Conference on Independent Component Analysis and Signal Separation
ICA '09 Proceedings of the 8th International Conference on Independent Component Analysis and Signal Separation
Iterative Subspace Decomposition for Ocular Artifact Removal from EEG Recordings
ICA '09 Proceedings of the 8th International Conference on Independent Component Analysis and Signal Separation
ICA '09 Proceedings of the 8th International Conference on Independent Component Analysis and Signal Separation
Budget Semi-supervised Learning
PAKDD '09 Proceedings of the 13th Pacific-Asia Conference on Advances in Knowledge Discovery and Data Mining
The Role of Robust Optimization in Single-Leg Airline Revenue Management
Management Science
A geometric newton method for oja's vector field
Neural Computation
Proceedings of the forty-first annual ACM symposium on Theory of computing
Numerical Validation in Current Hardware Architectures
High-order approximation of implicit surfaces by G1 triangular spline surfaces
Computer-Aided Design
Journal of Scientific Computing
The Journal of Supercomputing
Nonlinear Mean Shift over Riemannian Manifolds
International Journal of Computer Vision
Journal of Computational and Applied Mathematics
Application of modified homotopy perturbation method for solving the augmented systems
Journal of Computational and Applied Mathematics
Influence analysis with homogeneous linear restrictions
Computational Statistics & Data Analysis
Data Mining and Knowledge Discovery
ICML '09 Proceedings of the 26th Annual International Conference on Machine Learning
Fast and Stable Polynomial Equation Solving and Its Application to Computer Vision
International Journal of Computer Vision
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
A compositional framework for developing parallel programs on two-dimensional arrays
International Journal of Parallel Programming
Mining discrete patterns via binary matrix factorization
Proceedings of the 15th ACM SIGKDD international conference on Knowledge discovery and data mining
Network anomaly detection based on Eigen equation compression
Proceedings of the 15th ACM SIGKDD international conference on Knowledge discovery and data mining
Radius selection algorithms for sphere decoding
C3S2E '09 Proceedings of the 2nd Canadian Conference on Computer Science and Software Engineering
Applications of high dimensionalmodel representations to computer vision
WSEAS Transactions on Mathematics
An Ultrasonic 3D Positioning System Using a Single Compact Receiver Unit
LoCA '09 Proceedings of the 4th International Symposium on Location and Context Awareness
Searching Protein 3-D Structures in Linear Time
RECOMB 2'09 Proceedings of the 13th Annual International Conference on Research in Computational Molecular Biology
Applications of high dimensional model representations to computer vision
MAASE'09 Proceedings of the 2nd WSEAS international conference on Multivariate analysis and its application in science and engineering
Constraint Reasoning for Differential Models
Proceedings of the 2005 conference on Constraint Reasoning for Differential Models
SSVM '09 Proceedings of the Second International Conference on Scale Space and Variational Methods in Computer Vision
A Scale-Space Approach to Landmark Constrained Image Registration
SSVM '09 Proceedings of the Second International Conference on Scale Space and Variational Methods in Computer Vision
Parallel solution of large-scale algebraic Bernoulli equations with the matrix sign function method
International Journal of Computational Science and Engineering
A modified T. Chan's preconditioner for Toeplitz systems
Computers & Mathematics with Applications
2DPCA-based techniques in DCT domain for face recognition
International Journal of Intelligent Systems Technologies and Applications
Score and rank convergence of HITS
Proceedings of the 32nd international ACM SIGIR conference on Research and development in information retrieval
Parallel Computation of an Adaptive Optimal RBF Network Predictor
IWANN '03 Proceedings of the 7th International Work-Conference on Artificial and Natural Neural Networks: Part II: Artificial Neural Nets Problem Solving Methods
Cramér-Rao bound for damped/undamped exponential process with prior-knowledge
Multidimensional Systems and Signal Processing
A New Linear Initialization in SOM for Biomolecular Data
Computational Intelligence Methods for Bioinformatics and Biostatistics
Application of filter diagonalization method to numerical solution of algebraic equations
Proceedings of the 2009 conference on Symbolic numeric computation
Video Compression and Retrieval of Moving Object Location Applied to Surveillance
ICIAR '09 Proceedings of the 6th International Conference on Image Analysis and Recognition
A spectral-based clustering algorithm for categorical data using data summaries
Proceedings of the 2nd Workshop on Data Mining using Matrices and Tensors
Sequential latent semantic indexing
Proceedings of the 2nd Workshop on Data Mining using Matrices and Tensors
Detection and separation in space time block coding using noisy compound PCA - ICA model
Proceedings of the 2009 International Conference on Wireless Communications and Mobile Computing: Connecting the World Wirelessly
Block preconditioners with circulant blocks for general linear systems
Computers & Mathematics with Applications
Gradient based iterative solutions for general linear matrix equations
Computers & Mathematics with Applications
Order reduction of bilinear MIMO dynamical systems using new block Krylov subspaces
Computers & Mathematics with Applications
On the asymmetric eigenvalue complementarity problem
Optimization Methods & Software - GLOBAL OPTIMIZATION
Enhancing multilingual latent semantic analysis with term alignment information
COLING '08 Proceedings of the 22nd International Conference on Computational Linguistics - Volume 1
HITS Can Converge Slowly, but Not Too Slowly, in Score and Rank
COCOON '09 Proceedings of the 15th Annual International Conference on Computing and Combinatorics
Fast Spectral Clustering with Random Projection and Sampling
MLDM '09 Proceedings of the 6th International Conference on Machine Learning and Data Mining in Pattern Recognition
Fuzzy linear and nonlinear discriminant analysis algorithms for face recognition
Intelligent Data Analysis
An unsupervised clustering approach for leukaemia classification based on DNA micro-arrays data
Intelligent Data Analysis
PRIB '09 Proceedings of the 4th IAPR International Conference on Pattern Recognition in Bioinformatics
Quantitative Assessment of Image Segmentation Quality by Random Walk Relaxation Times
Proceedings of the 31st DAGM Symposium on Pattern Recognition
PageRank: Splitting Homogeneous Singular Linear Systems of Index One
ICTIR '09 Proceedings of the 2nd International Conference on Theory of Information Retrieval: Advances in Information Retrieval Theory
From "Identical" to "Similar": Fusing Retrieved Lists Based on Inter-document Similarities
ICTIR '09 Proceedings of the 2nd International Conference on Theory of Information Retrieval: Advances in Information Retrieval Theory
Space-time tradeoffs for approximate nearest neighbor searching
Journal of the ACM (JACM)
Smooth Analysis of the Condition Number and the Least Singular Value
APPROX '09 / RANDOM '09 Proceedings of the 12th International Workshop and 13th International Workshop on Approximation, Randomization, and Combinatorial Optimization. Algorithms and Techniques
Skipping steps in deformable simulation with online model reduction
ACM SIGGRAPH Asia 2009 papers
The latent relation mapping engine: algorithm and experiments
Journal of Artificial Intelligence Research
Feature selection and kernel design via linear programming
IJCAI'07 Proceedings of the 20th international joint conference on Artifical intelligence
Neighborhood MinMax projections
IJCAI'07 Proceedings of the 20th international joint conference on Artifical intelligence
IJCAI'07 Proceedings of the 20th international joint conference on Artifical intelligence
Applications of flexibly initialized high dimensional model representation in computer vision
SMO'09 Proceedings of the 9th WSEAS international conference on Simulation, modelling and optimization
On evolutionary spectral clustering
ACM Transactions on Knowledge Discovery from Data (TKDD)
On the ADI method for Sylvester equations
Journal of Computational and Applied Mathematics
A cyclic block-tridiagonal solver
Advances in Engineering Software
Measuring semantic similarity by latent relational analysis
IJCAI'05 Proceedings of the 19th international joint conference on Artificial intelligence
Low cost high performance uncertainty quantification
Proceedings of the 2nd Workshop on High Performance Computational Finance
To obtain orthogonal feature extraction using training data selection
Proceedings of the 18th ACM conference on Information and knowledge management
Accelerating the complex Hessenberg QR algorithm with the CSX600 floating-point coprocessor
PDCS '07 Proceedings of the 19th IASTED International Conference on Parallel and Distributed Computing and Systems
Computing estimates of continuous time macroeconometric models on the basis of discrete data
Computational Statistics & Data Analysis
LMMSE channel estimation with soft statistics for turbo-MIMO receivers
IEEE Communications Letters
A new ML based interference cancellation technique for layered space-time codes
IEEE Transactions on Communications
Turbo receivers for interleave-division multiple-access systems
IEEE Transactions on Communications
A robust ranging scheme for OFDMA-based networks
IEEE Transactions on Communications
Spread-spectrum watermarking security
IEEE Transactions on Information Forensics and Security
A sparse counterpart of Reichel and Gragg's package QRUP
Journal of Computational and Applied Mathematics
Singular-value-like decomposition for complex matrix triples
Journal of Computational and Applied Mathematics
A multiple shift QR-step for structured rank matrices
Journal of Computational and Applied Mathematics
A new beamforming structure based on transmit-MRC for closed-loop MIMO systems
IEEE Transactions on Communications
IEEE Transactions on Robotics
IEEE Transactions on Signal Processing
Functionally weighted lagrange interpolation of band-limited signals from nonuniform samples
IEEE Transactions on Signal Processing
Constrained adaptive echo cancellation for discrete multitone systems
IEEE Transactions on Signal Processing
Polynomial filtering for fast convergence in distributed consensus
IEEE Transactions on Signal Processing
Krylov-proportionate adaptive filtering techniques not limited to sparse systems
IEEE Transactions on Signal Processing
Detection and tracking of MIMO propagation path parameters using state-space approach
IEEE Transactions on Signal Processing
Sparse reconstruction by separable approximation
IEEE Transactions on Signal Processing
IEEE Transactions on Signal Processing
An adaptive projected subgradient approach to learning in diffusion networks
IEEE Transactions on Signal Processing
Consistent reduced-rank LMMSE estimation with a limited number of samples per observation dimension
IEEE Transactions on Signal Processing
Power-efficient dimensionality reduction for distributed channel-aware kalman tracking using WSNs
IEEE Transactions on Signal Processing
Weighted cross-layer cooperative beamforming for wireless networks
IEEE Transactions on Signal Processing
Signal detection for EST based modulation in Doubly-selective channels
IEEE Transactions on Signal Processing
Nonorthogonal joint diagonalization by combining givens and hyperbolic rotations
IEEE Transactions on Signal Processing
Cramér–Rao bound analysis of positioning approaches in GNSS receivers
IEEE Transactions on Signal Processing
Generalized consistent estimation on low-rank Krylov subspaces of arbitrarily high dimension
IEEE Transactions on Signal Processing
Non-parametric detection of the number of signals: hypothesis testing and random matrix theory
IEEE Transactions on Signal Processing
Distributed space-time coding for two-way wireless relay networks
IEEE Transactions on Signal Processing
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
Image sequence denoising via sparse and redundant representations
IEEE Transactions on Image Processing
Error analysis for image-based rendering with depth information
IEEE Transactions on Image Processing
Content adaptive mesh representation of images using binary space partitions
IEEE Transactions on Image Processing
Tomographic imaging of dynamic objects with the ensemble Kalman filter
IEEE Transactions on Image Processing
Compressive-projection principal component analysis
IEEE Transactions on Image Processing
50 years of CORDIC: algorithms, architectures, and applications
IEEE Transactions on Circuits and Systems Part I: Regular Papers
Digital background-calibration algorithm for "split ADC" architecture
IEEE Transactions on Circuits and Systems Part I: Regular Papers
RKHS Bayes discriminant: a subspace constrained nonlinear feature projection for signal detection
IEEE Transactions on Neural Networks
IEEE Transactions on Wireless Communications
Soft information assisted space-time multiuser detection for highly loaded CDMA
IEEE Transactions on Wireless Communications
Transmit beamforming method based on maximum-norm combining for MIMO systems
IEEE Transactions on Wireless Communications
Low-complexity systolic V-BLAST architecture
IEEE Transactions on Wireless Communications
IEEE Transactions on Wireless Communications
Performance analysis of a flexible subsampling receiver for pulsed UWB signals
IEEE Transactions on Wireless Communications
Iterative carrier-frequency offset estimation for generalized OFDMA uplink transmission
IEEE Transactions on Wireless Communications
Mosaic-based figure-ground segmentation along with static segmentation by mean shift
SIP '07 Proceedings of the Ninth IASTED International Conference on Signal and Image Processing
Journal of Computational and Applied Mathematics
MIMO technologies in 3GPP LTE and LTE-advanced
EURASIP Journal on Wireless Communications and Networking - 3GPP LTE and LTE Advanced
Minimal-storage high-performance Cholesky factorization via blocking and recursion
IBM Journal of Research and Development
Semianalytical finite element analysis of piezothermoelastic shells of revolution
Computers and Structures
Improved total least squares estimators for modal analysis
Computers and Structures
Laplacian Linear Discriminant Analysis Approach to Unsupervised Feature Selection
IEEE/ACM Transactions on Computational Biology and Bioinformatics (TCBB)
Polynomial matrix QR decomposition and iterative decoding of frequency selective MIMO channels
WCNC'09 Proceedings of the 2009 IEEE conference on Wireless Communications & Networking Conference
Multiuser scheduling in downlink MIMO systems using particle swarm optimization
WCNC'09 Proceedings of the 2009 IEEE conference on Wireless Communications & Networking Conference
Joint source/relay precoder design in amplify-and-forward relay systems using an MMSE criterion
WCNC'09 Proceedings of the 2009 IEEE conference on Wireless Communications & Networking Conference
Theoretical analysis of joint THP/pre-FDE for single-carrier signal transmissions
WCNC'09 Proceedings of the 2009 IEEE conference on Wireless Communications & Networking Conference
WCNC'09 Proceedings of the 2009 IEEE conference on Wireless Communications & Networking Conference
Design of linear dispersion codes for MIMO broadband wireless access systems
WCNC'09 Proceedings of the 2009 IEEE conference on Wireless Communications & Networking Conference
WCNC'09 Proceedings of the 2009 IEEE conference on Wireless Communications & Networking Conference
Two-step signal detection for MIMO-OFDM systems without cyclic prefix
WCNC'09 Proceedings of the 2009 IEEE conference on Wireless Communications & Networking Conference
Improved MDS-based multi-target tracking algorithm
WCNC'09 Proceedings of the 2009 IEEE conference on Wireless Communications & Networking Conference
Architectural optimization of decomposition algorithms for wireless communication systems
WCNC'09 Proceedings of the 2009 IEEE conference on Wireless Communications & Networking Conference
Particle swarm CMA evolution strategy for the optimization of multi-funnel landscapes
CEC'09 Proceedings of the Eleventh conference on Congress on Evolutionary Computation
Leukocyte Recognition Using EM-Algorithm
MICAI '09 Proceedings of the 8th Mexican International Conference on Artificial Intelligence
ICA Based on KPCA and Hierarchical RBF Network for Face Recognition
ICIC '07 Proceedings of the 3rd International Conference on Intelligent Computing: Advanced Intelligent Computing Theories and Applications. With Aspects of Artificial Intelligence
Efficient Random Sampling for Nonrigid Feature Matching
ISVC '09 Proceedings of the 5th International Symposium on Advances in Visual Computing: Part I
Superresolution from Occluded Scenes
ICONIP '09 Proceedings of the 16th International Conference on Neural Information Processing: Part II
Fast exact affine projection algorithm using displacement structure theory
DSP'09 Proceedings of the 16th international conference on Digital Signal Processing
Stereo acoustical echo cancellation based on common poles
DSP'09 Proceedings of the 16th international conference on Digital Signal Processing
Time domain equalizer design using bit error rate minimization for UWB systems
EURASIP Journal on Wireless Communications and Networking
Gradient-based iterative solutions for general matrix equations
ACC'09 Proceedings of the 2009 conference on American Control Conference
Comparison of surface normal estimation methods for range sensing applications
ICRA'09 Proceedings of the 2009 IEEE international conference on Robotics and Automation
A constructive enhancement for online sequential extreme learning machine
IJCNN'09 Proceedings of the 2009 international joint conference on Neural Networks
Joint tracking-registration with linear complexity: an application to range sensors
IJCNN'09 Proceedings of the 2009 international joint conference on Neural Networks
On speeding up computation in information theoretic learning
IJCNN'09 Proceedings of the 2009 international joint conference on Neural Networks
An efficient active set method for SVM training without singular inner problems
IJCNN'09 Proceedings of the 2009 international joint conference on Neural Networks
Geometric suffix tree: Indexing protein 3-D structures
Journal of the ACM (JACM)
Collaborative filtering based on iterative principal component analysis
Expert Systems with Applications: An International Journal
A general matrix framework for modelling Information Retrieval
Information Processing and Management: an International Journal - Special issue: Formal methods for information retrieval
Simple state-space formulations of 2-D frequency transformation and double bilinear transformation
Multidimensional Systems and Signal Processing
Research on non-linear rectification of sensor based on improved LS-SVR
CCDC'09 Proceedings of the 21st annual international conference on Chinese control and decision conference
Exponentials of skew-symmetric matrices and logarithms of orthogonal matrices
Journal of Computational and Applied Mathematics
Solving balanced Procrustes problem with some constraints by eigenvalue decomposition
Journal of Computational and Applied Mathematics
A truncated-CG style method for symmetric generalized eigenvalue problems
Journal of Computational and Applied Mathematics
An efficient MIMO detection algorithm employed in imperfect noise estimation
WSEAS TRANSACTIONS on COMMUNICATIONS
WSEAS TRANSACTIONS on COMMUNICATIONS
WSEAS Transactions on Signal Processing
Hyperplane-based vector quantization for distributed estimation in wireless sensor networks
IEEE Transactions on Information Theory
Generalized channel inversion methods for multiuser MIMO systems
IEEE Transactions on Communications
A novel maximum likelihood decoding algorithm for orthogonal space-time block codes
IEEE Transactions on Communications
New simple closed-form BER expressions for MRC diversity BPSK in correlated Rayleigh fading and CCI
IEEE Transactions on Communications
A new two-step precoding strategy for closed-loop MIMO systems
IEEE Transactions on Communications
The piecewise smooth Mumford-Shah functional on an arbitrary graph
IEEE Transactions on Image Processing
IEEE Transactions on Robotics
Stagewise weak gradient pursuits
IEEE Transactions on Signal Processing
Vector time-frequency AR models for nonstationary multivariate random processes
IEEE Transactions on Signal Processing
Robust reduced-rank adaptive algorithm based on parallel subgradient projection and Krylov subspace
IEEE Transactions on Signal Processing
A novel uplink MIMO transmission scheme in a multicell environment
IEEE Transactions on Wireless Communications
Channel estimation in OFDM systems with unknown interference
IEEE Transactions on Wireless Communications
Receive antenna selection for MIMO systems over correlated fading channels
IEEE Transactions on Wireless Communications
Some results for the fast MMSE-SIC detection in spatially multiplexed MIMO systems
IEEE Transactions on Wireless Communications
GUSTO: An automatic generation and optimization tool for matrix inversion architectures
ACM Transactions on Embedded Computing Systems (TECS)
Architecture and FPGA design of dichotomous coordinate descent algorithms
IEEE Transactions on Circuits and Systems Part I: Regular Papers
Rectangular full packed format for cholesky's algorithm: factorization, solution, and inversion
ACM Transactions on Mathematical Software (TOMS)
A framework to compute page importance based on user behaviors
Information Retrieval
EURASIP Journal on Wireless Communications and Networking
A task-priority based framework for multiple tasks in highly redundant robots
IROS'09 Proceedings of the 2009 IEEE/RSJ international conference on Intelligent robots and systems
An efficient least-squares trilateration algorithm for mobile robot localization
IROS'09 Proceedings of the 2009 IEEE/RSJ international conference on Intelligent robots and systems
Control of redundant robots using learned models: an operational space control approach
IROS'09 Proceedings of the 2009 IEEE/RSJ international conference on Intelligent robots and systems
EURASIP Journal on Wireless Communications and Networking - 3GPP LTE and LTE Advanced
On pole-zero model estimation methods minimizing a logarithmic criterion for speech analysis
IEEE Transactions on Audio, Speech, and Language Processing
CO$^2$RBFN for short-term forecasting of the extra virgin olive oil price in the Spanish market
International Journal of Hybrid Intelligent Systems - Hybrid Fuzzy Models
A concise and provably informative multi-scale signature based on heat diffusion
SGP '09 Proceedings of the Symposium on Geometry Processing
A deterministic method for choosing search radii in sphere decoding
WiCOM'09 Proceedings of the 5th International Conference on Wireless communications, networking and mobile computing
Phase compensation for uplink distributed space-time cooperative protocol
WiCOM'09 Proceedings of the 5th International Conference on Wireless communications, networking and mobile computing
Evaluation of QO-STBC schemes for four transmit antennas
WiCOM'09 Proceedings of the 5th International Conference on Wireless communications, networking and mobile computing
Blind channel estimation of MIMO-OFDM based on FDPM
WiCOM'09 Proceedings of the 5th International Conference on Wireless communications, networking and mobile computing
On performance comparison of wideband multiple primary user detection methods in cognitive radios
WiCOM'09 Proceedings of the 5th International Conference on Wireless communications, networking and mobile computing
Image estimation using total least squares
WiCOM'09 Proceedings of the 5th International Conference on Wireless communications, networking and mobile computing
An efficient inter-unit interference reduction technique for a STTD system
IEEE Communications Letters
Distributed consensus with quantized data via sequence averaging
IEEE Transactions on Signal Processing
Validated numerical computation of the L∞-norm for linear dynamical systems
Journal of Symbolic Computation
Cross-layer enhanced time scheduling for multi-band OFDM UWB networks
Wireless Networks
Computational Optimization and Applications
Performance of partitioned procedures in fluid-structure interaction
Computers and Structures
Identification of nonlinear systems using Polynomial Nonlinear State Space models
Automatica (Journal of IFAC)
Structure preserving model reduction of port-Hamiltonian systems by moment matching at infinity
Automatica (Journal of IFAC)
Robust minimization of lighting variation for real-time defect detection
Real-Time Imaging
A shared-subspace learning framework for multi-label classification
ACM Transactions on Knowledge Discovery from Data (TKDD)
Transformations between some special matrices
Computers & Mathematics with Applications
ICCSA'03 Proceedings of the 2003 international conference on Computational science and its applications: PartI
Time-memory trade-offs using sparse matrix methods for large-scale eigenvalue problems
ICCSA'03 Proceedings of the 2003 international conference on Computational science and its applications: PartI
Regularization in cardiac source imaging
FIMH'03 Proceedings of the 2nd international conference on Functional imaging and modeling of the heart
Complexity reduction for the design of interacting controllers
HSCC'07 Proceedings of the 10th international conference on Hybrid systems: computation and control
A survey on methods for computing matrix exponentials in numerical schemes for ODEs
ICCS'03 Proceedings of the 2003 international conference on Computational science: PartII
SCIA'03 Proceedings of the 13th Scandinavian conference on Image analysis
DLDA/QR: a robust direct LDA algorithm for face recognition and its theoretical foundation
PAKDD'07 Proceedings of the 11th Pacific-Asia conference on Advances in knowledge discovery and data mining
Efficient and consistent recursive filtering of images with reflective extension
Scale Space'03 Proceedings of the 4th international conference on Scale space methods in computer vision
A parallel Newton-GMRES algorithm for solving large scale nonlinear systems
VECPAR'02 Proceedings of the 5th international conference on High performance computing for computational science
Fast and efficient training of RBF networks
ICANN/ICONIP'03 Proceedings of the 2003 joint international conference on Artificial neural networks and neural information processing
Quantum adiabatic evolution algorithm for a quantum neural network
ICANN/ICONIP'03 Proceedings of the 2003 joint international conference on Artificial neural networks and neural information processing
Personalized search on the world wide web
The adaptive web
IWANN'07 Proceedings of the 9th international work conference on Artificial neural networks
Combining SVM classifiers for email anti-spam filtering
IWANN'07 Proceedings of the 9th international work conference on Artificial neural networks
RECOMB'06 Proceedings of the joint 2006 satellite conference on Systems biology and computational proteomics
A lower bound for agnostically learning disjunctions
COLT'07 Proceedings of the 20th annual conference on Learning theory
A bidirectional greedy heuristic for the subspace selection problem
SLS'07 Proceedings of the 2007 international conference on Engineering stochastic local search algorithms: designing, implementing and analyzing effective heuristics
Boosting support vector machines using multiple dissimilarities
KES'07/WIRN'07 Proceedings of the 11th international conference, KES 2007 and XVII Italian workshop on neural networks conference on Knowledge-based intelligent information and engineering systems: Part I
Noncoherent MIMO communication: Grassmannian constellations and efficient detection
IEEE Transactions on Information Theory
Low-complexity pilot-aided compensation for carrier frequency offset and I/Q imbalance
IEEE Transactions on Communications
IEEE Transactions on Neural Networks
Recursive Bayesian recurrent neural networks for time-series modeling
IEEE Transactions on Neural Networks
Adaptive filter algorithms for accelerated discrete-time consensus
IEEE Transactions on Signal Processing
Singular value decompositions and low rank approximations of tensors
IEEE Transactions on Signal Processing
An algorithm for calculating the QR and singular value decompositions of polynomial matrices
IEEE Transactions on Signal Processing
Doubly selective channel estimation using exponential basis models and subblock tracking
IEEE Transactions on Signal Processing
MIMO radar waveform design via alternating projection
IEEE Transactions on Signal Processing
Blind user detection in doubly dispersive DS/CDMA fading channels
IEEE Transactions on Signal Processing
Improving wireless physical layer security via cooperating relays
IEEE Transactions on Signal Processing
A direct method to solve block banded block Toeplitz systems with non-banded Toeplitz blocks
Journal of Computational and Applied Mathematics
Multidimensional Systems and Signal Processing
International Journal of High Performance Computing Applications
Data stream anomaly detection through principal subspace tracking
Proceedings of the 2010 ACM Symposium on Applied Computing
Calibration of a system of a gray-value camera and an MDSI range camera
PerMIS '08 Proceedings of the 8th Workshop on Performance Metrics for Intelligent Systems
Data transformations and representations for computation and visualization
Information Visualization
Prospectus for the next LAPACK and ScaLAPACK libraries
PARA'06 Proceedings of the 8th international conference on Applied parallel computing: state of the art in scientific computing
Parallel variants of the multishift QZ algorithm with advanced deflation techniques
PARA'06 Proceedings of the 8th international conference on Applied parallel computing: state of the art in scientific computing
PARA'06 Proceedings of the 8th international conference on Applied parallel computing: state of the art in scientific computing
LAPACK-style codes for pivoted Cholesky and QR updating
PARA'06 Proceedings of the 8th international conference on Applied parallel computing: state of the art in scientific computing
Single image subspace for face recognition
AMFG'07 Proceedings of the 3rd international conference on Analysis and modeling of faces and gestures
Recognition of digital images of the human face at ultra low resolution via illumination spaces
ACCV'07 Proceedings of the 8th Asian conference on Computer vision - Volume Part II
Imposing independence constraints in the CP model
ICA'07 Proceedings of the 7th international conference on Independent component analysis and signal separation
Pivot selection strategies in Jacobi joint block-diagonalization
ICA'07 Proceedings of the 7th international conference on Independent component analysis and signal separation
Linear prediction based blind source extraction algorithms in practical applications
ICA'07 Proceedings of the 7th international conference on Independent component analysis and signal separation
Arnoldi versus GMRES for computing pageRank: A theoretical contribution to google's pageRank problem
ACM Transactions on Information Systems (TOIS)
Performance evaluation of three kinds of quantum optimization
ISICA'07 Proceedings of the 2nd international conference on Advances in computation and intelligence
On the combination of dissimilarities for gene expression data analysis
ICANN'07 Proceedings of the 17th international conference on Artificial neural networks
Semi-supervised metrics for textual data visualization
ICANN'07 Proceedings of the 17th international conference on Artificial neural networks
Prefix-shuffled geometric suffix tree
SPIRE'07 Proceedings of the 14th international conference on String processing and information retrieval
Rigid-body fracture sound with precomputed soundbanks
ACM SIGGRAPH 2010 papers
Subspace self-collision culling
ACM SIGGRAPH 2010 papers
Ensemble of dissimilarity based classifiers for cancerous samples classification
PRIB'07 Proceedings of the 2nd IAPR international conference on Pattern recognition in bioinformatics
PSIVT'07 Proceedings of the 2nd Pacific Rim conference on Advances in image and video technology
A single-view based framework for robust estimation of height and position of moving people
PSIVT'07 Proceedings of the 2nd Pacific Rim conference on Advances in image and video technology
A random-walk based scoring algorithm applied to recommender engines
WebKDD'06 Proceedings of the 8th Knowledge discovery on the web international conference on Advances in web mining and web usage analysis
Some experiments in audio-visual speech processing
NOLISP'07 Proceedings of the 2007 international conference on Advances in nonlinear speech processing
PPAM'07 Proceedings of the 7th international conference on Parallel processing and applied mathematics
Parallel tiled QR factorization for multicore architectures
PPAM'07 Proceedings of the 7th international conference on Parallel processing and applied mathematics
Extreme support vector machine classifier
PAKDD'08 Proceedings of the 12th Pacific-Asia conference on Advances in knowledge discovery and data mining
Algebraically stable diagonally implicit general linear methods
Applied Numerical Mathematics
Iterative low complexity factorization for projective reconstruction
RobVis'08 Proceedings of the 2nd international conference on Robot vision
Ensemble classifiers based on kernel PCA for cancer data classification
ICIC'09 Proceedings of the Intelligent computing 5th international conference on Emerging intelligent computing technology and applications
An improved STTD detection algorithm over time-selective fading channels
ISCIT'09 Proceedings of the 9th international conference on Communications and information technologies
Fast Hinge Detection Algorithms for Flexible Protein Structures
IEEE/ACM Transactions on Computational Biology and Bioinformatics (TCBB)
Computational bit-width allocation for operations in vector calculus
ICCD'09 Proceedings of the 2009 IEEE international conference on Computer design
Grassmannian predictive coding for delayed limited feedback MIMO systems
Allerton'09 Proceedings of the 47th annual Allerton conference on Communication, control, and computing
Pricing American options using a space-time adaptive finite difference method
Mathematics and Computers in Simulation
The general extrapolation formula for acceleration PageRank computations
FSKD'09 Proceedings of the 6th international conference on Fuzzy systems and knowledge discovery - Volume 7
Scheduling two-sided transformations using tile algorithms on multicore architectures
Scientific Programming
Subgraph sparsification and nearly optimal ultrasparsifiers
Proceedings of the forty-second ACM symposium on Theory of computing
Low complexity DFT-domain noise PSD tracking using high-resolution periodograms
EURASIP Journal on Advances in Signal Processing
Sinusoidal order estimation using angles between subspaces
EURASIP Journal on Advances in Signal Processing
International Journal of Data Mining and Bioinformatics
Visual-inertial simultaneous localization, mapping and sensor-to-sensor self-calibration
CIRA'09 Proceedings of the 8th IEEE international conference on Computational intelligence in robotics and automation
GLOBECOM'09 Proceedings of the 28th IEEE conference on Global telecommunications
Erasure codes with a banded structure for hybrid iterative-ML decoding
GLOBECOM'09 Proceedings of the 28th IEEE conference on Global telecommunications
Permutation optimization in QRD based multi-relay systems
GLOBECOM'09 Proceedings of the 28th IEEE conference on Global telecommunications
Error propagation in sensor network localization with regular topologies
GLOBECOM'09 Proceedings of the 28th IEEE conference on Global telecommunications
Relaying power allocation with user-cooperation for OFDM-based MISO broadcast channels
GLOBECOM'09 Proceedings of the 28th IEEE conference on Global telecommunications
GLOBECOM'09 Proceedings of the 28th IEEE conference on Global telecommunications
Location estimation using differential RSS with spatially correlated shadowing
GLOBECOM'09 Proceedings of the 28th IEEE conference on Global telecommunications
MMSE-based user selection algorithms for multiuser linear precoding
GLOBECOM'09 Proceedings of the 28th IEEE conference on Global telecommunications
Maximising audiovisual correlation with automatic lip tracking and vowel based segmentation
BioID_MultiComm'09 Proceedings of the 2009 joint COST 2101 and 2102 international conference on Biometric ID management and multimodal communication
Additive preconditioning for matrix computations
CSR'08 Proceedings of the 3rd international conference on Computer science: theory and applications
Variable-breadth K-best detector for MIMO systems
Proceedings of the 6th International Wireless Communications and Mobile Computing Conference
On suitability of Euclidean embedding for host-based network coordinate systems
IEEE/ACM Transactions on Networking (TON)
Near successive refinement of Gaussian vectors in Grassmannian space
ICC'09 Proceedings of the 2009 IEEE international conference on Communications
Efficient GSVD based multi-user MIMO linear precoding and antenna selection scheme
ICC'09 Proceedings of the 2009 IEEE international conference on Communications
A new reduced complexity ML detection scheme for MIMO systems
ICC'09 Proceedings of the 2009 IEEE international conference on Communications
ICC'09 Proceedings of the 2009 IEEE international conference on Communications
A recursive algorithm approximating frame coefficients related to Riesz bases of translates
ICICS'09 Proceedings of the 7th international conference on Information, communications and signal processing
QR decomposition of rational matrix functions
ICICS'09 Proceedings of the 7th international conference on Information, communications and signal processing
Efficient joint two-dimensional angle and polarization estimation with crossed dipoles
ICICS'09 Proceedings of the 7th international conference on Information, communications and signal processing
Exceptional motion frames detection by means of spatiotemporal region of interest features
ICIP'09 Proceedings of the 16th IEEE international conference on Image processing
Semi adaptive appearance models for lip tracking
ICIP'09 Proceedings of the 16th IEEE international conference on Image processing
Efficient methods for large resistor networks
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
IEEE Transactions on Systems, Man, and Cybernetics, Part B: Cybernetics
Modeling and identification of nonlinear systems in the short-time fourier transform domain
IEEE Transactions on Signal Processing
Source extraction by maximizing the variance in the conditional distribution tails
IEEE Transactions on Signal Processing
A new parametric GLRT for multichannel adaptive signal detection
IEEE Transactions on Signal Processing
A continuous-time linear system identification method for slowly sampled data
IEEE Transactions on Signal Processing
Optimization and analysis of distributed averaging with short node memory
IEEE Transactions on Signal Processing
IEEE Transactions on Signal Processing
Novel system inversion algorithm with application to oversampled perfect reconstruction filter banks
IEEE Transactions on Signal Processing
Linear optimal FIR estimation of discrete time-invariant state-space models
IEEE Transactions on Signal Processing
Channel estimation in wireless OFDM systems with irregular pilot distribution
IEEE Transactions on Signal Processing
IEEE Transactions on Signal Processing
Asynchronous CDMA systems with random spreading-part II: design criteria
IEEE Transactions on Information Theory
A new reduced complexity ML detection scheme for MIMO systems
IEEE Transactions on Communications
Codeword design for ultra-wideband (UWB) precoding
IEEE Transactions on Wireless Communications
Generalized low-rank approximations of matrices revisited
IEEE Transactions on Neural Networks
Target detection via network filtering
IEEE Transactions on Information Theory
Properties of some approaches to test observability of O-D flows based on link-volume counts
IEEE Transactions on Intelligent Transportation Systems
Physics-based interactive volume manipulation for sharing surgical process
IEEE Transactions on Information Technology in Biomedicine - Special section on new and emerging technologies in bioinformatics and bioengineering
Distributed consensus-based demodulation: algorithms and error analysis
IEEE Transactions on Wireless Communications
Enhancing link-based similarity through the use of non-numerical labels and prior information
Proceedings of the Eighth Workshop on Mining and Learning with Graphs
Transmission Strategies for MISO Downlink MC-CDMA Mobile Systems
Wireless Personal Communications: An International Journal
On the reflexive and anti-reflexive solutions of the generalised coupled Sylvester matrix equations
International Journal of Systems Science
Asymptotically optimal low-complexity SC-FDE in data-like co-channel interference
IEEE Transactions on Communications
An Arnoldi-Extrapolation algorithm for computing PageRank
Journal of Computational and Applied Mathematics
A new online learning algorithm for structure-adjustable extreme learning machine
Computers & Mathematics with Applications
A thick-restarted block Arnoldi algorithm with modified Ritz vectors for large eigenproblems
Computers & Mathematics with Applications
Mathematics and Computers in Simulation
An efficient dual algorithm for vectorless power grid verification under linear current constraints
Proceedings of the 47th Design Automation Conference
Computationally efficient 2D beamspace matrix pencil method for direction of arrival estimation
Digital Signal Processing
Real and complex polynomial root-finding with eigen-solving and preprocessing
Proceedings of the 2010 International Symposium on Symbolic and Algebraic Computation
International Journal of High Performance Computing Applications
Computational Statistics & Data Analysis
Stepwise estimation of common principal components
Computational Statistics & Data Analysis
Secure transmission with multiple antennas I: the MISOME wiretap channel
IEEE Transactions on Information Theory
Limits to performance of optimum combining with dense multiple correlated antennas
IEEE Transactions on Communications
On Lagrangian support vector regression
Expert Systems with Applications: An International Journal
VLSI implementation of a multi-standard MIMO symbol detector for 3GPP LTE and WiMAX
WTS'10 Proceedings of the 9th conference on Wireless telecommunications symposium
Distributed estimation over fading MACs with multiple antennas at the fusion center
Asilomar'09 Proceedings of the 43rd Asilomar conference on Signals, systems and computers
Ordinal representation of ranking
MAMECTIS'10 Proceedings of the 12th WSEAS international conference on Mathematical methods, computational techniques and intelligent systems
Optimal and unbiased FIR estimates of clock state
SIP'10 Proceedings of the 9th WSEAS international conference on Signal processing
PageRank without hyperlinks: Structural reranking using links induced by language models
ACM Transactions on Information Systems (TOIS)
A topological embedding of the lexicon for semantic distance computation
Natural Language Engineering
HotDep'08 Proceedings of the Fourth conference on Hot topics in system dependability
IEEE Transactions on Signal Processing
IEEE Transactions on Signal Processing
Attack scenario recognition through heterogeneous event stream analysis
MILCOM'09 Proceedings of the 28th IEEE conference on Military communications
Orthogonal polynomials in several variables for measures with mass points
Numerical Algorithms
From frequency to meaning: vector space models of semantics
Journal of Artificial Intelligence Research
QR decomposition of Laurent polynomial matrices sampled on the unit circle
IEEE Transactions on Information Theory
Error rate performance of multilevel signals with coherent detection
IEEE Transactions on Communications
A relay assisted cooperative transmission protocol for wireless multiple access systems
IEEE Transactions on Communications
An efficient projector-based passivity test for descriptor systems
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
IEEE Transactions on Information Forensics and Security
IEEE Transactions on Systems, Man, and Cybernetics, Part B: Cybernetics - Special issue on gait analysis
IPSILON: incremental parsing for semantic indexing of latent concepts
IEEE Transactions on Image Processing
Low complexity pre-equalization algorithms for zero-padded block transmission
IEEE Transactions on Wireless Communications
Sampling from a system-theoretic viewpoint part II: noncausal solutions
IEEE Transactions on Signal Processing
Carrier frequency offset problem in DCT-SC-FDMA systems: investigation and compensation
ICCOM'10 Proceedings of the 14th WSEAS international conference on Communications
A hierarchical volumetric shadow algorithm for single scattering
ACM SIGGRAPH Asia 2010 papers
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
Intrinsic wrench estimation and its performance index for multisegment continuum robots
IEEE Transactions on Robotics
IEEE Transactions on Systems, Man, and Cybernetics, Part C: Applications and Reviews
Unified array manifold decomposition based on spherical harmonics and 2-D Fourier basis
IEEE Transactions on Signal Processing
IEEE Transactions on Signal Processing
A subgradient solution to structured robust least squares problems
IEEE Transactions on Signal Processing
Subspace-restricted singular value decompositions for linear discrete ill-posed problems
Journal of Computational and Applied Mathematics
Capacity regions and sum-rate capacities of vector Gaussian interference channels
IEEE Transactions on Information Theory
IEEE Transactions on Information Theory
General classes of performance lower bounds for parameter estimation: part II: Bayesian bounds
IEEE Transactions on Information Theory
On the construction of guaranteed passive macromodels for high-speed channels
Proceedings of the Conference on Design, Automation and Test in Europe
Constellation Classification Based on Sequential Monte Carlo for Intersymbol Interference Channels
Wireless Personal Communications: An International Journal
ICAISC'10 Proceedings of the 10th international conference on Artifical intelligence and soft computing: Part II
Utilizing inter-passage and inter-document similarities for reranking search results
ACM Transactions on Information Systems (TOIS)
Global and fast receiver antenna selection for MIMO systems
IEEE Transactions on Communications
Enhanced groupwise detection with a new receive combiner for spatial multiplexing MIMO systems
IEEE Transactions on Communications
On the moment-determinance and random mixture of Nakagami-m variates
IEEE Transactions on Communications
QoS analysis of a scheduling policy for heterogeneous users employing AMC jointly with ARQ
IEEE Transactions on Communications
Coherence-based performance guarantees for estimating a sparse vector under random noise
IEEE Transactions on Signal Processing
IEEE Transactions on Signal Processing
IEEE Transactions on Signal Processing
IEEE Transactions on Signal Processing
The subspace Gaussian mixture model-A structured model for speech recognition
Computer Speech and Language
Comparison of two algorithms for computing page importance
AAIM'10 Proceedings of the 6th international conference on Algorithmic aspects in information and management
Algebraic and numerical algorithms
Algorithms and theory of computation handbook
Applications of FFT and structured matrices
Algorithms and theory of computation handbook
Reduction to condensed forms for symmetric eigenvalue problems on multi-core architectures
PPAM'09 Proceedings of the 8th international conference on Parallel processing and applied mathematics: Part I
On parallelizing the MRRR algorithm for data-parallel coprocessors
PPAM'09 Proceedings of the 8th international conference on Parallel processing and applied mathematics: Part I
A novel approach to compute similarities and its application to item recommendation
PRICAI'10 Proceedings of the 11th Pacific Rim international conference on Trends in artificial intelligence
Using hybrid CPU-GPU platforms to accelerate the computation of the matrix sign function
Euro-Par'09 Proceedings of the 2009 international conference on Parallel processing
New developments in quantum algorithms
MFCS'10 Proceedings of the 35th international conference on Mathematical foundations of computer science
Exploiting loops in the graph of trifocal tensors for calibrating a network of cameras
ECCV'10 Proceedings of the 11th European conference on Computer vision: Part II
Conjugate gradient bundle adjustment
ECCV'10 Proceedings of the 11th European conference on Computer vision: Part II
Camera pose estimation using images of planar mirror reflections
ECCV'10 Proceedings of the 11th European conference on Computer vision: Part IV
Emotion recognition from arbitrary view facial images
ECCV'10 Proceedings of the 11th European conference on Computer vision: Part VI
Sparse unsupervised dimensionality reduction algorithms
ECML PKDD'10 Proceedings of the 2010 European conference on Machine learning and knowledge discovery in databases: Part I
Kernel-based learning from infinite dimensional 2-way tensors
ICANN'10 Proceedings of the 20th international conference on Artificial neural networks: Part II
Sliding mode control of robot based on neural network model with positive definite inertia matrix
ICANN'10 Proceedings of the 20th international conference on Artificial neural networks: Part II
A frequency domain subspace blind channel estimation method for trailing zero OFDM systems
Journal of Network and Computer Applications
Performance analysis for sparse support recovery
IEEE Transactions on Information Theory
Non-negative tensor factorization applied to music genre classification
IEEE Transactions on Audio, Speech, and Language Processing
IEEE Transactions on Communications
Unitary space-time constellations based on quasi-orthogonal sequences
IEEE Transactions on Communications
Incorporating the loss function into discriminative clustering of structured outputs
IEEE Transactions on Neural Networks
Clustered Nyström method for large scale manifold learning and dimension reduction
IEEE Transactions on Neural Networks
IEEE Transactions on Signal Processing
A deflation procedure for subspace decomposition
IEEE Transactions on Signal Processing
Low complexity equalization for doubly selective channels modeled by a basis expansion
IEEE Transactions on Signal Processing
IEEE Transactions on Signal Processing
Multimode antenna selection for MIMO amplify-and-forward relay systems
IEEE Transactions on Signal Processing
Approximation of canonical sets and their applications to 2D view simplification
CVPR'04 Proceedings of the 2004 IEEE computer society conference on Computer vision and pattern recognition
The use of Sherman-Morrison formula in the solution of Fredholm integral equation of second kind
Mathematics and Computers in Simulation
Best Nonspherical Symmetric Low Rank Approximation
SIAM Journal on Matrix Analysis and Applications
Solving Ellipsoid-Constrained Integer Least Squares Problems
SIAM Journal on Matrix Analysis and Applications
A Randomized Algorithm for Principal Component Analysis
SIAM Journal on Matrix Analysis and Applications
Spectral Analysis of Saddle Point Matrices with Indefinite Leading Blocks
SIAM Journal on Matrix Analysis and Applications
Inertia and Rank Characterizations of Some Matrix Expressions
SIAM Journal on Matrix Analysis and Applications
A Matrix Computation View of FastMap and RobustMap Dimension Reduction Algorithms
SIAM Journal on Matrix Analysis and Applications
Shift-Invert Arnoldi's Method with Preconditioned Iterative Solves
SIAM Journal on Matrix Analysis and Applications
SIAM Journal on Matrix Analysis and Applications
ACM Transactions on Graphics (TOG)
Using an iterative linear solver in an interior-point method for generating support vector machines
Computational Optimization and Applications
Towards the global solution of the maximal correlation problem
Journal of Global Optimization
Parsimonious linear fingerprinting for time series
Proceedings of the VLDB Endowment
Point Cloud Glue: constraining simulations using the procrustes transform
Proceedings of the 2010 ACM SIGGRAPH/Eurographics Symposium on Computer Animation
Automatic tag recommendation algorithms for social recommender systems
ACM Transactions on the Web (TWEB)
Fast Algorithms for Approximating the Singular Value Decomposition
ACM Transactions on Knowledge Discovery from Data (TKDD)
Secure transmission with multiple antennas: part II: the MIMOME wiretap channel
IEEE Transactions on Information Theory
Efficient maximum entropy reconstruction of nuclear magnetic resonance T1-T2 spectra
IEEE Transactions on Signal Processing
Cooperative and constrained MIMO communications in wireless ad hoc/sensor networks
IEEE Transactions on Wireless Communications
Linear transmission for rate optimization in MIMO broadcast channels
IEEE Transactions on Wireless Communications
A linear analog network coding for asynchronous two-way relay networks
IEEE Transactions on Wireless Communications
Differential feedback of MIMO channel gram matrices based on geodesic curves
IEEE Transactions on Wireless Communications
Proceedings of the Seventh Indian Conference on Computer Vision, Graphics and Image Processing
Visual-Inertial Sensor Fusion: Localization, Mapping and Sensor-to-Sensor Self-calibration
International Journal of Robotics Research
Measures for characterizing directionality specific volume changes in TBM of brain growth
MICCAI'10 Proceedings of the 13th international conference on Medical image computing and computer-assisted intervention: Part II
VLSI implementation of a fixed-complexity soft-output MIMO detector for high-speed wireless
EURASIP Journal on Wireless Communications and Networking
Blind extraction of intermittent sources
LVA/ICA'10 Proceedings of the 9th international conference on Latent variable analysis and signal separation
Common spatiotemporal pattern analysis
LVA/ICA'10 Proceedings of the 9th international conference on Latent variable analysis and signal separation
Weighted fuzzy ridge regression analysis with crisp inputs and triangular fuzzy outputs
International Journal of Advanced Intelligence Paradigms
Computers & Mathematics with Applications
Automatica (Journal of IFAC)
Patterns of temporal variation in online media
Proceedings of the fourth ACM international conference on Web search and data mining
Kernel polynomials from L-orthogonal polynomials
Applied Numerical Mathematics
New progress in real and complex polynomial root-finding
Computers & Mathematics with Applications
Stochastic algorithms in linear algebra: beyond the Markov chains and von Neumann-Ulam scheme
NMA'10 Proceedings of the 7th international conference on Numerical methods and applications
Multiple hypergraph clustering of web images by mining Word2Image correlations
Journal of Computer Science and Technology
Acquiring CDMA packets in an ad hoc network using adaptive arrays
MILCOM'03 Proceedings of the 2003 IEEE conference on Military communications - Volume I
On (not) indexing quadratic form distance by metric access methods
Proceedings of the 14th International Conference on Extending Database Technology
Fast Algorithms for the Generalized Foley-Sammon Discriminant Analysis
SIAM Journal on Matrix Analysis and Applications
Uniqueness of Low-Rank Matrix Completion by Rigidity Theory
SIAM Journal on Matrix Analysis and Applications
A Comparison of Two-Level Preconditioners Based on Multigrid and Deflation
SIAM Journal on Matrix Analysis and Applications
On the Convergence of Rational Ritz Values
SIAM Journal on Matrix Analysis and Applications
Matrix Structures and Parallel Algorithms for Image Superresolution Reconstruction
SIAM Journal on Matrix Analysis and Applications
SIAM Journal on Matrix Analysis and Applications
SIAM Journal on Matrix Analysis and Applications
Estimating the Backward Error in LSQR
SIAM Journal on Matrix Analysis and Applications
Bounds on Real Eigenvalues and Singular Values of Interval Matrices
SIAM Journal on Matrix Analysis and Applications
Approximation of $2^d\times2^d$ Matrices Using Tensor Decomposition
SIAM Journal on Matrix Analysis and Applications
Fiedler Companion Linearizations and the Recovery of Minimal Indices
SIAM Journal on Matrix Analysis and Applications
A Rational Interpolation Scheme with Superpolynomial Rate of Convergence
SIAM Journal on Numerical Analysis
Computing Multivariate Fekete and Leja Points by Numerical Linear Algebra
SIAM Journal on Numerical Analysis
On the Complexity of Nonnegative Matrix Factorization
SIAM Journal on Optimization
A Newton-CG Augmented Lagrangian Method for Semidefinite Programming
SIAM Journal on Optimization
From Random Polygon to Ellipse: An Eigenanalysis
SIAM Review
Skew-Radial Basis Function Expansions for Empirical Modeling
SIAM Journal on Scientific Computing
Preconditioning Saddle-Point Systems with Applications in Optimization
SIAM Journal on Scientific Computing
Multilevel Approach For Signal Restoration Problems With Toeplitz Matrices
SIAM Journal on Scientific Computing
SIAM Journal on Scientific Computing
Adaptive Techniques for Improving the Performance of Incomplete Factorization Preconditioning
SIAM Journal on Scientific Computing
Shift-Invert Arnoldi Approximation to the Toeplitz Matrix Exponential
SIAM Journal on Scientific Computing
Moving Least Squares via Orthogonal Polynomials
SIAM Journal on Scientific Computing
SIAM Journal on Scientific Computing
Approximate Inverse Circulant-plus-Diagonal Preconditioners for Toeplitz-plus-Diagonal Matrices
SIAM Journal on Scientific Computing
An Implicit Multishift $QR$-Algorithm for Hermitian Plus Low Rank Matrices
SIAM Journal on Scientific Computing
A Nested Newton-Type Algorithm for Finite Volume Methods Solving Richards' Equation in Mixed Form
SIAM Journal on Scientific Computing
A New and Fast Orthogonal Linear Discriminant Analysis on Undersampled Problems
SIAM Journal on Scientific Computing
A Novel Parallel QR Algorithm for Hybrid Distributed Memory HPC Systems
SIAM Journal on Scientific Computing
SIAM Journal on Scientific Computing
Spectral Chebyshev Collocation for the Poisson and Biharmonic Equations
SIAM Journal on Scientific Computing
Reinterpretation and Enhancement of Signal-Subspace-Based Imaging Methods for Extended Scatterers
SIAM Journal on Imaging Sciences
Explicit Sensor Network Localization using Semidefinite Representations and Facial Reductions
SIAM Journal on Optimization
Studies of the noise power gain as a measure of errors for discrete-time transversal estimators
NEHIPISIC'11 Proceeding of 10th WSEAS international conference on electronics, hardware, wireless and optical communications, and 10th WSEAS international conference on signal processing, robotics and automation, and 3rd WSEAS international conference on nanotechnology, and 2nd WSEAS international conference on Plasma-fusion-nuclear physics
Multi-stage programming with functors and monads: Eliminating abstraction overhead from generic code
Science of Computer Programming
A unified scheme of shot boundary detection and anchor shot detection in news video story parsing
Multimedia Tools and Applications
A quasi-Newton acceleration for high-dimensional optimization algorithms
Statistics and Computing
Model-Based Multiple Rigid Object Detection and Registration in Unstructured Range Data
International Journal of Computer Vision
Relevance vector machines for enhanced BER probability in DMT-based systems
Journal of Electrical and Computer Engineering
Quantifying and qualifying trust: spectral decomposition of trust networks
FAST'10 Proceedings of the 7th International conference on Formal aspects of security and trust
Constructing social networks from unstructured group dialog in virtual worlds
SBP'11 Proceedings of the 4th international conference on Social computing, behavioral-cultural modeling and prediction
Channel estimation in a DMT based power-line communication system using sparse Bayesian regression
ROCOM'11/MUSP'11 Proceedings of the 11th WSEAS international conference on robotics, control and manufacturing technology, and 11th WSEAS international conference on Multimedia systems & signal processing
High-accuracy stereo depth maps using structured light
CVPR'03 Proceedings of the 2003 IEEE computer society conference on Computer vision and pattern recognition
Journal of Signal Processing Systems
Efficient Detection Algorithms for MIMO Communication Systems
Journal of Signal Processing Systems
Computational approaches to suspicion in adversarial settings
Information Systems Frontiers
Implementation of a High-Speed MIMO Soft-Output Symbol Detector for Software Defined Radio
Journal of Signal Processing Systems
Exact analysis of performance models by the Method of Moments
Performance Evaluation
ICS'10 Proceedings of the 14th WSEAS international conference on Systems: part of the 14th WSEAS CSCC multiconference - Volume II
Minimum singular value estimation of bipolar matrices
ICCOMP'06 Proceedings of the 10th WSEAS international conference on Computers
Algorithms for computing moments of the length of busy periods of single-server systems
ICCOMP'06 Proceedings of the 10th WSEAS international conference on Computers
Improving high-performance computations on clouds through resource underutilization
Proceedings of the 2011 ACM Symposium on Applied Computing
Principal components for multivariate functional data
Computational Statistics & Data Analysis
Neural, Parallel & Scientific Computations
Locally regressive G-optimal design for image retrieval
Proceedings of the 1st ACM International Conference on Multimedia Retrieval
Proceedings of The Fourth International C* Conference on Computer Science and Software Engineering
Floorplanning considering IR drop in multiple supply voltages island designs
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Performance-oriented parameter dimension reduction of VLSI circuits
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
The minimum-rank gram matrix completion via modified fixed point continuation method
Proceedings of the 36th international symposium on Symbolic and algebraic computation
Network performance engineering
A mutual and pseudo inverse matrix - based authentication mechanism for outsourcing service
ACIIDS'11 Proceedings of the Third international conference on Intelligent information and database systems - Volume Part I
An effective web document clustering algorithm based on bisection and merge
Artificial Intelligence Review
Wireless Personal Communications: An International Journal
Complexity Reduction by Using QR-Based Scheme in Computing Capacity for Optimal Transmission
Wireless Personal Communications: An International Journal
A Novel Circulant Approximation Method for Frequency Domain LMMSE Equalization
Journal of Signal Processing Systems
Optimal Locality Regularized Least Squares Support Vector Machine via Alternating Optimization
Neural Processing Letters
Designing and dynamically load balancing hybrid LU for multi/many-core
Computer Science - Research and Development
ACM Transactions on Reconfigurable Technology and Systems (TRETS)
Complexity cutback of multiple antenna channel estimation using orthogonal matrix triangularization
TELE-INFO'11/MINO'11/SIP'11 Proceedings of the 10th WSEAS international conference on Telecommunications and informatics and microelectronics, nanoelectronics, optoelectronics, and WSEAS international conference on Signal processing
Space-Time Blind Multiuser Detection for Multiuser DS-CDMA and Oversampled Systems
Wireless Personal Communications: An International Journal
Improving BDD cryptosystems in general lattices
ISPEC'11 Proceedings of the 7th international conference on Information security practice and experience
Identifying points of interest by self-tuning clustering
Proceedings of the 34th international ACM SIGIR conference on Research and development in Information Retrieval
An empirical approach to model selection through validation for censored survival data
Journal of Biomedical Informatics
A new algorithm for producing quantum circuits using KAK decompositions
Quantum Information & Computation
Depth buffer compression for stochastic motion blur rasterization
Proceedings of the ACM SIGGRAPH Symposium on High Performance Graphics
Steady affine motions and morphs
ACM Transactions on Graphics (TOG)
Fast simulation of skeleton-driven deformable body characters
ACM Transactions on Graphics (TOG)
Fast oriented bounding box optimization on the rotation group SO(3,ℝ)
ACM Transactions on Graphics (TOG)
Common component analysis for multiple covariance matrices
Proceedings of the 17th ACM SIGKDD international conference on Knowledge discovery and data mining
Proceedings of the 6th International Conference on Queueing Theory and Network Applications
A novel numerical method for exact model matching problem with stability
Automatica (Journal of IFAC)
Normalized cut based edge detection
MCPR'11 Proceedings of the Third Mexican conference on Pattern recognition
Wide-baseline correspondence from locally affine invariant contour matching
ICIAR'11 Proceedings of the 8th international conference on Image analysis and recognition - Volume Part I
Efficient model order reduction of large-scale systems on multi-core platforms
ICCSA'11 Proceedings of the 2011 international conference on Computational science and Its applications - Volume Part V
ICCSA'11 Proceedings of the 2011 international conference on Computational science and its applications - Volume Part I
Consensus acceleration in multiagent systems with the Chebyshev semi-iterative method
The 10th International Conference on Autonomous Agents and Multiagent Systems - Volume 1
GPU implementation of a Helmholtz Krylov solver preconditioned by a shifted Laplace multigrid method
Journal of Computational and Applied Mathematics
CIBB'10 Proceedings of the 7th international conference on Computational intelligence methods for bioinformatics and biostatistics
Evaluation of a first-order primal-dual algorithm for MRF energy minimization
EMMCVPR'11 Proceedings of the 8th international conference on Energy minimization methods in computer vision and pattern recognition
Distributed scalable collaborative filtering algorithm
Euro-Par'11 Proceedings of the 17th international conference on Parallel processing - Volume Part I
Improvements over adaptive local hyperplane to achieve better classification
ICDM'11 Proceedings of the 11th international conference on Advances in data mining: applications and theoretical aspects
Linear discriminant dimensionality reduction
ECML PKDD'11 Proceedings of the 2011 European conference on Machine learning and knowledge discovery in databases - Volume Part I
Fragmentation of numerical algorithms for parallel subroutines library
The Journal of Supercomputing
Sparse matrix operations on several multi-core architectures
The Journal of Supercomputing
ASAP: towards accurate, stable and accelerative penetrating-rank estimation on large graphs
WAIM'11 Proceedings of the 12th international conference on Web-age information management
Scalable Affiliation Recommendation using Auxiliary Networks
ACM Transactions on Intelligent Systems and Technology (TIST)
Structured linear algebra problems in adaptive optics imaging
Advances in Computational Mathematics
A preconditioning technique for a class of PDE-constrained optimization problems
Advances in Computational Mathematics
Advances in Computational Mathematics
On the numerical solution of large-scale sparse discrete-time Riccati equations
Advances in Computational Mathematics
Multiple imputation in principal component analysis
Advances in Data Analysis and Classification
Wireless Personal Communications: An International Journal
Schwarz preconditioned CG algorithm for the mortar finite element
Numerical Algorithms
A new algorithm for index determination in DAEs using algorithmic differentiation
Numerical Algorithms
A feasible directions method for nonsmooth convex optimization
Structural and Multidisciplinary Optimization
Least squares online linear discriminant analysis
Expert Systems with Applications: An International Journal
Page importance computation based on Markov processes
Information Retrieval
Regularized online sequential learning algorithm for single-hidden layer feedforward neural networks
Pattern Recognition Letters
An interior-point path-following algorithm for computing a Leontief economy equilibrium
Computational Optimization and Applications
A New Multistage Whitener-Based Detector for MIMO Systems
Wireless Personal Communications: An International Journal
Re-adapting the regularization of weights for non-stationary regression
ALT'11 Proceedings of the 22nd international conference on Algorithmic learning theory
From "identical" to "similar": fusing retrieved lists based on inter-document similarities
Journal of Artificial Intelligence Research
The opposite of smoothing: a language model approach to ranking query-specific document clusters
Journal of Artificial Intelligence Research
Seismic damage detection in a building frame via finite element model updating
Computers and Structures
Effective retrieval of resources in folksonomies using a new tag similarity measure
Proceedings of the 20th ACM international conference on Information and knowledge management
TAKES: a fast method to select features in the kernel space
Proceedings of the 20th ACM international conference on Information and knowledge management
On Jacobi-type methods for blind equalization of paraunitary channels
Signal Processing
Fast PCA for processing calcium-imaging data from the brain of drosophila melanogaster
Proceedings of the ACM fifth international workshop on Data and text mining in biomedical informatics
A supervisory loop approach to fulfill workspace constraints in redundant robots
Robotics and Autonomous Systems
Journal of Symbolic Computation
A Fourth Order Hermitian Box-Scheme with Fast Solver for the Poisson Problem in a Square
Journal of Scientific Computing
PolyDepth: Real-time penetration depth computation using iterative contact-space projection
ACM Transactions on Graphics (TOG)
An Augmented Stability Result for the Lanczos Hermitian Matrix Tridiagonalization Process
SIAM Journal on Matrix Analysis and Applications
A Fast Algorithm for Updating and Downsizing the Dominant Kernel Principal Components
SIAM Journal on Matrix Analysis and Applications
Improved Balanced Incomplete Factorization
SIAM Journal on Matrix Analysis and Applications
Stability of the Levinson Algorithm for Toeplitz-Like Systems
SIAM Journal on Matrix Analysis and Applications
The Condition Metric in the Space of Rectangular Full Rank Matrices
SIAM Journal on Matrix Analysis and Applications
Structured Total Maximum Likelihood: An Alternative to Structured Total Least Squares
SIAM Journal on Matrix Analysis and Applications
Spectral Methods for Parameterized Matrix Equations
SIAM Journal on Matrix Analysis and Applications
Acquired Clustering Properties and Solution of Certain Saddle Point Systems
SIAM Journal on Matrix Analysis and Applications
Robust Approximate Cholesky Factorization of Rank-Structured Symmetric Positive Definite Matrices
SIAM Journal on Matrix Analysis and Applications
Spectral Condition Numbers of Orthogonal Projections and Full Rank Linear Least Squares Residuals
SIAM Journal on Matrix Analysis and Applications
The Trace Ratio Optimization Problem for Dimensionality Reduction
SIAM Journal on Matrix Analysis and Applications
Trace Norm Regularization: Reformulations, Algorithms, and Multi-Task Learning
SIAM Journal on Optimization
Quasi-Newton Methods on Grassmannians and Multilinear Approximations of Tensors
SIAM Journal on Scientific Computing
SIAM Journal on Scientific Computing
Large Scale Bayesian Inference and Experimental Design for Sparse Linear Models
SIAM Journal on Imaging Sciences
SIAM Journal on Matrix Analysis and Applications
Solving Large-Scale Least Squares Semidefinite Programming by Alternating Direction Methods
SIAM Journal on Matrix Analysis and Applications
Ergodicity Coefficients Defined by Vector Norms
SIAM Journal on Matrix Analysis and Applications
Chasing Bulges or Rotations? A Metamorphosis of the QR-Algorithm
SIAM Journal on Matrix Analysis and Applications
Goal-Oriented and Modular Stability Analysis
SIAM Journal on Matrix Analysis and Applications
On Efficient Numerical Approximation of the Bilinear Form $c^*A^{-1}b$
SIAM Journal on Scientific Computing
A Fast Randomized Algorithm for Orthogonal Projection
SIAM Journal on Scientific Computing
Rank-Deficient Nonlinear Least Squares Problems and Subset Selection
SIAM Journal on Numerical Analysis
Hessian Matrix vs. Gauss-Newton Hessian Matrix
SIAM Journal on Numerical Analysis
Anderson Acceleration for Fixed-Point Iterations
SIAM Journal on Numerical Analysis
Efficient Preconditioner Updates for Shifted Linear Systems
SIAM Journal on Scientific Computing
MINRES-QLP: A Krylov Subspace Method for Indefinite or Singular Symmetric Systems
SIAM Journal on Scientific Computing
Improved Scaling for Quantum Monte Carlo on Insulators
SIAM Journal on Scientific Computing
Dimensional Reductions for the Computation of Time-Dependent Quantum Expectations
SIAM Journal on Scientific Computing
Iterative Methods for Transmission Eigenvalues
SIAM Journal on Numerical Analysis
Lehmann Bounds and Eigenvalue Error Estimation
SIAM Journal on Numerical Analysis
An Online Method for Interpolating Linear Parametric Reduced-Order Models
SIAM Journal on Scientific Computing
An Algorithm for the Principal Component Analysis of Large Data Sets
SIAM Journal on Scientific Computing
Interpolating Irregularly Spaced Observations for Filtering Turbulent Complex Systems
SIAM Journal on Scientific Computing
Estimates of the Norm of the Error in Solving Linear Systems with FOM and GMRES
SIAM Journal on Scientific Computing
SIAM Journal on Scientific Computing
Domain-Decomposition-Type Methods for Computing the Diagonal of a Matrix Inverse
SIAM Journal on Scientific Computing
Efficient Parallel Nonnegative Least Squares on Multicore Architectures
SIAM Journal on Scientific Computing
SIAM Journal on Scientific Computing
A Regularized Gauss-Newton Trust Region Approach to Imaging in Diffuse Optical Tomography
SIAM Journal on Scientific Computing
A novel RBF neural network with fast training and accurate generalization
CIS'04 Proceedings of the First international conference on Computational and Information Science
Generalized rayleigh quotient shift strategy in QR algorithm for eigenvalue problems
NAA'04 Proceedings of the Third international conference on Numerical Analysis and its Applications
Gyro-aided feature tracking for a moving camera: fusion, auto-calibration and GPU implementation
International Journal of Robotics Research
A novel approach for effective learning of cluster structures with biological data applications
VDMB'06 Proceedings of the First international conference on Data Mining and Bioinformatics
Sparse covariance estimates for high dimensional classification using the cholesky decomposition
SSPR'06/SPR'06 Proceedings of the 2006 joint IAPR international conference on Structural, Syntactic, and Statistical Pattern Recognition
Performance of tomlinson-harashima precoding over spatially correlated MIMO channels
WASA'06 Proceedings of the First international conference on Wireless Algorithms, Systems, and Applications
Class-specific discriminant non-negative matrix factorization for frontal face verification
ICAPR'05 Proceedings of the Third international conference on Pattern Recognition and Image Analysis - Volume Part II
Riemannian manifold learning for nonlinear dimensionality reduction
ECCV'06 Proceedings of the 9th European conference on Computer Vision - Volume Part I
Reachability analysis of large-scale affine systems using low-dimensional polytopes
HSCC'06 Proceedings of the 9th international conference on Hybrid Systems: computation and control
A sparse parallel hybrid monte carlo algorithm for matrix computations
ICCS'05 Proceedings of the 5th international conference on Computational Science - Volume Part III
Parallel hybrid monte carlo algorithms for matrix computations
ICCS'05 Proceedings of the 5th international conference on Computational Science - Volume Part III
Finding the smallest eigenvalue by the inverse monte carlo method with refinement
ICCS'05 Proceedings of the 5th international conference on Computational Science - Volume Part III
Geometric suffix tree: a new index structure for protein 3-d structures
CPM'06 Proceedings of the 17th Annual conference on Combinatorial Pattern Matching
Multi-surface cardiac modelling, segmentation, and tracking
FIMH'05 Proceedings of the Third international conference on Functional Imaging and Modeling of the Heart
Approximating integer quadratic programs and MAXCUT in subdense graphs
ESA'05 Proceedings of the 13th annual European conference on Algorithms
Collective sampling and analysis of high order tensors for chatroom communications
ISI'06 Proceedings of the 4th IEEE international conference on Intelligence and Security Informatics
Error analysis of a monte carlo algorithm for computing bilinear forms of matrix powers
ICCS'06 Proceedings of the 6th international conference on Computational Science - Volume Part III
ICCS'06 Proceedings of the 6th international conference on Computational Science - Volume Part III
A rational Arnoldi approach for ill-conditioned linear systems
Journal of Computational and Applied Mathematics
A generalized global Arnoldi method for ill-posed matrix equations
Journal of Computational and Applied Mathematics
Quality and Leniency in Online Collaborative Rating Systems
ACM Transactions on the Web (TWEB)
Towards a guaranteed solution to plane-based self-calibration
ACCV'06 Proceedings of the 7th Asian conference on Computer Vision - Volume Part I
A new distance criterion for face recognition using image sets
ACCV'06 Proceedings of the 7th Asian conference on Computer Vision - Volume Part I
Simple LU and QR based non-orthogonal matrix joint diagonalization
ICA'06 Proceedings of the 6th international conference on Independent Component Analysis and Blind Signal Separation
ICA'06 Proceedings of the 6th international conference on Independent Component Analysis and Blind Signal Separation
Relationships between the FastICA algorithm and the rayleigh quotient iteration
ICA'06 Proceedings of the 6th international conference on Independent Component Analysis and Blind Signal Separation
Convergence analysis of continuous-time neural networks
ISNN'06 Proceedings of the Third international conference on Advances in Neural Networks - Volume Part I
ISNN'06 Proceedings of the Third international conference on Advances in Neural Networks - Volume Part I
A secant method for nonlinear least-squares minimization
Computational Optimization and Applications
The Journal of Supercomputing
A regularised estimator for long-range dependent processes
Automatica (Journal of IFAC)
Optimising memory bandwidth use for matrix-vector multiplication in iterative methods
ARC'10 Proceedings of the 6th international conference on Reconfigurable Computing: architectures, Tools and Applications
Vectorless verification of RLC power grids with transient current constraints
Proceedings of the International Conference on Computer-Aided Design
Fault tolerant matrix-matrix multiplication: correcting soft errors on-line
Proceedings of the second workshop on Scalable algorithms for large-scale systems
iSAM2: Incremental smoothing and mapping using the Bayes tree
International Journal of Robotics Research
A hierarchical matrix inversion algorithm for vectorless power grid verification
Proceedings of the International Conference on Computer-Aided Design
Early P/G grid voltage integrity verification
Proceedings of the International Conference on Computer-Aided Design
Image segmentation using local spectral histograms and linear regression
Pattern Recognition Letters
Three parallel algorithms for solving nonlinear systems and optimization problems
VECPAR'04 Proceedings of the 6th international conference on High Performance Computing for Computational Science
JuliusC: a practical approach for the analysis of divide-and-conquer algorithms
LCPC'04 Proceedings of the 17th international conference on Languages and Compilers for High Performance Computing
Uncertainty analysis of camera parameters computed with a 3d pattern
ICIAP'05 Proceedings of the 13th international conference on Image Analysis and Processing
Motion Representations for the Lafferriere-Sussmann Algorithm for Nilpotent Control Systems
International Journal of Applied Mathematics and Computer Science - Issues in Advanced Control and Diagnosis
International Journal of Applied Mathematics and Computer Science
Focused crawling using latent semantic indexing – an application for vertical search engines
ECDL'05 Proceedings of the 9th European conference on Research and Advanced Technology for Digital Libraries
Bayesian kernel learning methods for parametric accelerated life survival analysis
Proceedings of the First international conference on Deterministic and Statistical Methods in Machine Learning
Indexing issues in supporting similarity searching
PCM'04 Proceedings of the 5th Pacific Rim Conference on Advances in Multimedia Information Processing - Volume Part II
Additive operator decomposition and optimization–based reconnection with applications
LSSC'09 Proceedings of the 7th international conference on Large-Scale Scientific Computing
An effective support vector data description with relevant metric learning
ISNN'10 Proceedings of the 7th international conference on Advances in Neural Networks - Volume Part II
HAIS'10 Proceedings of the 5th international conference on Hybrid Artificial Intelligence Systems - Volume Part I
Modified LSI model for efficient search by metric access methods
ECIR'05 Proceedings of the 27th European conference on Advances in Information Retrieval Research
Efficient pagerank with same out-link groups
AIRS'04 Proceedings of the 2004 international conference on Asian Information Retrieval Technology
EigenMS: de novo analysis of peptide tandem mass spectra by spectral graph partitioning
RECOMB'05 Proceedings of the 9th Annual international conference on Research in Computational Molecular Biology
CORDIC-augmented sandbridge processor for channel equalization
SAMOS'05 Proceedings of the 5th international conference on Embedded Computer Systems: architectures, Modeling, and Simulation
Modeling and multiway analysis of chatroom tensors
ISI'05 Proceedings of the 2005 IEEE international conference on Intelligence and Security Informatics
Stable bounded canonical sets and image matching
EMMCVPR'05 Proceedings of the 5th international conference on Energy Minimization Methods in Computer Vision and Pattern Recognition
Fast verification for respective eigenvalues of symmetric matrix
CASC'05 Proceedings of the 8th international conference on Computer Algebra in Scientific Computing
Recursive polynomial remainder sequence and the nested subresultants
CASC'05 Proceedings of the 8th international conference on Computer Algebra in Scientific Computing
An adaptive least-squares collocation radial basis function method for the HJB equation
Journal of Global Optimization
On Camera Calibration with Linear Programming and Loop Constraint Linearization
International Journal of Computer Vision
Application of high order numerical quadratures to numerical inversion of the Laplace transform
Advances in Computational Mathematics
Design of Sparse Filters for Channel Shortening
Journal of Signal Processing Systems
Incremental complete LDA for face recognition
Pattern Recognition
Applied Numerical Mathematics
Multiple view reconstruction of a quadric of revolution from its occluding contours
ACCV'09 Proceedings of the 9th Asian conference on Computer Vision - Volume Part I
Advancing matrix computations with randomized preprocessing
CSR'10 Proceedings of the 5th international conference on Computer Science: theory and Applications
Approximate distributed kalman filtering for cooperative multi-agent localization
DCOSS'10 Proceedings of the 6th IEEE international conference on Distributed Computing in Sensor Systems
Subdivision termination criteria in subdivision multivariate solvers
GMP'06 Proceedings of the 4th international conference on Geometric Modeling and Processing
Soft analyzer modeling for dearomatization unit using KPCR with online eigenspace decomposition
ICONIP'06 Proceedings of the 13 international conference on Neural Information Processing - Volume Part I
Adaptive kernel leaning networks with application to nonlinear system identification
ICONIP'06 Proceedings of the 13 international conference on Neural Information Processing - Volume Part I
An algorithm to decompose n-dimensional rotations into planar rotations
CompIMAGE'10 Proceedings of the Second international conference on Computational Modeling of Objects Represented in Images
Network distance prediction based on decentralized matrix factorization
NETWORKING'10 Proceedings of the 9th IFIP TC 6 international conference on Networking
A new time-dependent complexity reduction method for biochemical systems
Transactions on Computational Systems Biology I
Performance modeling and optimal block size selection for the small-bulge multishift QR algorithm
ISPA'06 Proceedings of the 4th international conference on Parallel and Distributed Processing and Applications
Direct estimation of homogeneous vectors: an ill-solved problem in computer vision
ICVGIP'06 Proceedings of the 5th Indian conference on Computer Vision, Graphics and Image Processing
Drawing directed graphs clockwise
GD'09 Proceedings of the 17th international conference on Graph Drawing
A new SVM + NDA model for improved classification and recognition
ICIAR'10 Proceedings of the 7th international conference on Image Analysis and Recognition - Volume Part I
Articulated model registration of MRI/X-Ray spine data
ICIAR'10 Proceedings of the 7th international conference on Image Analysis and Recognition - Volume Part II
Procrustes analysis and moore-penrose inverse based classifiers for face recognition
IWBRS'05 Proceedings of the 2005 international conference on Advances in Biometric Person Authentication
Efficient computation of the relative entropy of probabilistic automata
LATIN'06 Proceedings of the 7th Latin American conference on Theoretical Informatics
Expert Systems with Applications: An International Journal
Efficient detection of primary users in cognitive radio networks
International Journal of Communication Networks and Distributed Systems
PARA'10 Proceedings of the 10th international conference on Applied Parallel and Scientific Computing - Volume Part I
Improvements of a fast parallel poisson solver on irregular domains
PARA'10 Proceedings of the 10th international conference on Applied Parallel and Scientific Computing - Volume Part I
Accelerating model reduction of large linear systems with graphics processors
PARA'10 Proceedings of the 10th international conference on Applied Parallel and Scientific Computing - Volume 2
Direct sparse factorization of blocked saddle point matrices
PARA'10 Proceedings of the 10th international conference on Applied Parallel and Scientific Computing - Volume 2
Markov chains and spectral clustering
PERFORM'10 Proceedings of the 2010 IFIP WG 6.3/7.3 international conference on Performance Evaluation of Computer and Communication Systems: milestones and future challenges
Computational Optimization and Applications
Computational Optimization and Applications
smt: a Matlab toolbox for structured matrices
Numerical Algorithms
Alternating Direction Method for Covariance Selection Models
Journal of Scientific Computing
Galerkin Methods for Stochastic Hyperbolic Problems Using Bi-Orthogonal Polynomials
Journal of Scientific Computing
Experimental architecture of joint remote state preparation
Quantum Information Processing
An approach for structural static reanalysis with unchanged number of degrees of freedom
Structural and Multidisciplinary Optimization
ASM'12 Proceedings of the 6th international conference on Applied Mathematics, Simulation, Modelling
On diagonally structured problems in unconstrained optimization using an inexact super Halley method
Journal of Computational and Applied Mathematics
Updated sparse cholesky factors for corotational elastodynamics
ACM Transactions on Graphics (TOG)
Low-cost data uncertainty quantification
Concurrency and Computation: Practice & Experience
Automatica (Journal of IFAC)
Technical Communique: Upper bounds for the solution of the discrete algebraic Lyapunov equation
Automatica (Journal of IFAC)
Multivariable frequency-response curve fitting with application to modal parameter estimation
Automatica (Journal of IFAC)
Kernel methods for subspace identification of multivariable LPV and bilinear systems
Automatica (Journal of IFAC)
Box-Jenkins identification revisited-Part II: Applications
Automatica (Journal of IFAC)
ARC'12 Proceedings of the 8th international conference on Reconfigurable Computing: architectures, tools and applications
ICAISC'12 Proceedings of the 11th international conference on Artificial Intelligence and Soft Computing - Volume Part II
Wireless Communications & Mobile Computing
On the nonlinear matrix equation X+A*X-q A=Q(q≥1)
Mathematical and Computer Modelling: An International Journal
Computing eigenpairs of quadratic eigensystems
Mathematical and Computer Modelling: An International Journal
Mathematical and Computer Modelling: An International Journal
Nonlinear vertex discriminant analysis with reproducing kernels
Statistical Analysis and Data Mining
Secure distributed computation of the square root and applications
ISPEC'12 Proceedings of the 8th international conference on Information Security Practice and Experience
Wireless Communications & Mobile Computing
Efficient determination of the hyperparameter in regularized total least squares problems
Applied Numerical Mathematics
Mathematical and Computer Modelling: An International Journal
Mathematical and Computer Modelling: An International Journal
Joint feature selection and subspace learning
IJCAI'11 Proceedings of the Twenty-Second international joint conference on Artificial Intelligence - Volume Volume Two
Computational Statistics & Data Analysis
Statistical Analysis and Data Mining
Optimality criterion for a class of nonlinear integer programs
Operations Research Letters
Tikhonov---Phillips regularization with operator dependent seminorms
Numerical Algorithms
Solution of generalized shifted linear systems with complex symmetric matrices
Journal of Computational Physics
ACM Transactions on Mathematical Software (TOMS)
Algorithm 921: alphaCertified: Certifying Solutions to Polynomial Systems
ACM Transactions on Mathematical Software (TOMS)
ACM Transactions on Mathematical Software (TOMS)
An overview on the eigenvalue computation for matrices
Neural, Parallel & Scientific Computations
Generalized framework for personalized recommendations in agent networks
Autonomous Agents and Multi-Agent Systems
A preconditioning technique for Schur complement systems arising in stochastic optimization
Computational Optimization and Applications
Semi-supervised learning with mixed knowledge information
Proceedings of the 18th ACM SIGKDD international conference on Knowledge discovery and data mining
Stable Evaluation of Gaussian Radial Basis Function Interpolants
SIAM Journal on Scientific Computing
A New Truncation Strategy for the Higher-Order Singular Value Decomposition
SIAM Journal on Scientific Computing
Flexible Variants of Block Restarted GMRES Methods with Application to Geophysics
SIAM Journal on Scientific Computing
Verified Bounds for Least Squares Problems and Underdetermined Linear Systems
SIAM Journal on Matrix Analysis and Applications
On a Nonlinear Matrix Equation Arising in Nano Research
SIAM Journal on Matrix Analysis and Applications
Computing Isolated Singular Solutions of Polynomial Systems: Case of Breadth One
SIAM Journal on Numerical Analysis
Wedderburn Rank Reduction and Krylov Subspace Method for Tensor Approximation. Part 1: Tucker Case
SIAM Journal on Scientific Computing
Computing All or Some Eigenvalues of Symmetric $\mathcal{H}_{\ell}$-Matrices
SIAM Journal on Scientific Computing
SIAM Journal on Scientific Computing
An Error Analysis for Rational Galerkin Projection Applied to the Sylvester Equation
SIAM Journal on Numerical Analysis
SIAM Journal on Scientific Computing
Low-Rank Matrix Approximation with Weights or Missing Data Is NP-Hard
SIAM Journal on Matrix Analysis and Applications
A Fast Randomized Algorithm for Computing a Hierarchically Semiseparable Representation of a Matrix
SIAM Journal on Matrix Analysis and Applications
Low-Rank Tensor Krylov Subspace Methods for Parametrized Linear Systems
SIAM Journal on Matrix Analysis and Applications
Discrete Eckart-Young Theorem for Integer Matrices
SIAM Journal on Matrix Analysis and Applications
SIAM Journal on Matrix Analysis and Applications
MapReduce for parallel reinforcement learning
EWRL'11 Proceedings of the 9th European conference on Recent Advances in Reinforcement Learning
H2 optimization for systems with adobe input delays: A loop shifting approach
Automatica (Journal of IFAC)
Expert Systems with Applications: An International Journal
Cache blocking for linear algebra algorithms
PPAM'11 Proceedings of the 9th international conference on Parallel Processing and Applied Mathematics - Volume Part I
Reducing the amount of pivoting in symmetric indefinite systems
PPAM'11 Proceedings of the 9th international conference on Parallel Processing and Applied Mathematics - Volume Part I
Distributed QR factorization based on randomized algorithms
PPAM'11 Proceedings of the 9th international conference on Parallel Processing and Applied Mathematics - Volume Part I
Accelerating BST methods for model reduction with graphics processors
PPAM'11 Proceedings of the 9th international conference on Parallel Processing and Applied Mathematics - Volume Part I
PPAM'11 Proceedings of the 9th international conference on Parallel Processing and Applied Mathematics - Volume Part I
PPAM'11 Proceedings of the 9th international conference on Parallel Processing and Applied Mathematics - Volume Part I
Spatial confidence regions for quantifying and visualizing registration uncertainty
WBIR'12 Proceedings of the 5th international conference on Biomedical Image Registration
Orthogonal projection analysis
IScIDE'11 Proceedings of the Second Sino-foreign-interchange conference on Intelligent Science and Intelligent Data Engineering
Original Article: Simpler GMRES with deflated restarting
Mathematics and Computers in Simulation
Ranking and sparsifying a connection graph
WAW'12 Proceedings of the 9th international conference on Algorithms and Models for the Web Graph
Detection of damage in cyclic structures using an eigenpair sensitivity matrix
Computers and Structures
Analyzing iterations in identification with application to nonparametric H∞-norm estimation
Automatica (Journal of IFAC)
Online construction of surface light fields
EGSR'05 Proceedings of the Sixteenth Eurographics conference on Rendering Techniques
Large-scale data management for PRT-based real-time rendering of dynamically skinned models
EGSR'07 Proceedings of the 18th Eurographics conference on Rendering Techniques
Scene collages and flexible camera arrays
EGSR'07 Proceedings of the 18th Eurographics conference on Rendering Techniques
Ambient occlusion for animated characters
EGSR'06 Proceedings of the 17th Eurographics conference on Rendering Techniques
Integrating Spectral Kernel Learning and Constraints in Semi-Supervised Classification
Neural Processing Letters
Parallelized matrix factorization for fast BTF compression
EG PGV'09 Proceedings of the 9th Eurographics conference on Parallel Graphics and Visualization
Decomposition and visualization of fourth-order elastic-plastic tensors
SPBG'08 Proceedings of the Fifth Eurographics / IEEE VGTC conference on Point-Based Graphics
Domain and function: a dual-space model of semantic relations and compositions
Journal of Artificial Intelligence Research
Averaging complex subspaces via a Karcher mean approach
Signal Processing
Efficient algorithms to explore conformation spaces of flexible protein loops
WABI'07 Proceedings of the 7th international conference on Algorithms in Bioinformatics
Fast computation of good multiple spaced seeds
WABI'07 Proceedings of the 7th international conference on Algorithms in Bioinformatics
PaCT'07 Proceedings of the 9th international conference on Parallel Computing Technologies
Binary ant colony algorithm for symbol detection in a spatial multiplexing system
UC'07 Proceedings of the 6th international conference on Unconventional Computation
Parallelizing dense linear algebra operations with task queues in llc
PVM/MPI'07 Proceedings of the 14th European conference on Recent Advances in Parallel Virtual Machine and Message Passing Interface
A study of different modeling choices for simulating platelets within the immersed boundary method
Applied Numerical Mathematics
DVFS-control techniques for dense linear algebra operations on multi-core processors
Computer Science - Research and Development
Computer Science - Research and Development
Equalization and Carrier Frequency Offsets Compensation for the SC-FDMA System
Wireless Personal Communications: An International Journal
Benchmarking link analysis ranking methods in assistive environments
Proceedings of the 5th International Conference on PErvasive Technologies Related to Assistive Environments
Real and complex polynomial root-finding by means of eigen-solving
CASC'12 Proceedings of the 14th international conference on Computer Algebra in Scientific Computing
Weighted last-step min-max algorithm with improved sub-logarithmic regret
ALT'12 Proceedings of the 23rd international conference on Algorithmic Learning Theory
International Journal of Numerical Modelling: Electronic Networks, Devices and Fields
A sequential algorithm for sparse support vector classifiers
Pattern Recognition
Further comparison of additive and multiplicative coarse grid correction
Applied Numerical Mathematics
Energy Extraction from Onflow Inhomogeneity in the Spanwise Direction. A Theoretical Study
Journal of Intelligent and Robotic Systems
Computational Optimization and Applications
Hitting time of quantum walks with perturbation
Quantum Information Processing
Level-3 Cholesky Factorization Routines Improve Performance of Many Cholesky Algorithms
ACM Transactions on Mathematical Software (TOMS)
Diagnose the premalignant pancreatic cancer using high dimensional linear machine
PRIB'12 Proceedings of the 7th IAPR international conference on Pattern Recognition in Bioinformatics
Data Mining and Knowledge Discovery
Parallel forward and back substitution for efficient power grid simulation
Proceedings of the International Conference on Computer-Aided Design
A Least Squares Solution for Camera Distortion Parameters
Journal of Mathematical Imaging and Vision
Fast orthogonal transforms for pricing derivatives with quasi-Monte Carlo
Proceedings of the Winter Simulation Conference
Exploratory factor and principal component analyses: some new aspects
Statistics and Computing
Personalized Reliability Prediction of Web Services
ACM Transactions on Software Engineering and Methodology (TOSEM)
Testing Closeness of Discrete Distributions
Journal of the ACM (JACM)
Transient analysis of general queueing systems via simulation-based transfer function modeling
Proceedings of the Winter Simulation Conference
An algorithm for computing the eigenvalues of block companion matrices
Numerical Algorithms
Equivalence Between LDA/QR and Direct LDA
International Journal of Cognitive Informatics and Natural Intelligence
System Architecture for 3GPP-LTE Modem using a Programmable Baseband Processor
International Journal of Embedded and Real-Time Communication Systems
On proximal bilateral-weighted fuzzy support vector machine classifiers
International Journal of Advanced Intelligence Paradigms
Computing real solutions of polynomial systems via low-rank moment matrix completion
Proceedings of the 37th International Symposium on Symbolic and Algebraic Computation
Multi-user Multi-stream Vector Perturbation Precoding
Wireless Personal Communications: An International Journal
An SVD---Bypass latent semantic analysis for image retrieval
MCBR-CDS'12 Proceedings of the Third MICCAI international conference on Medical Content-Based Retrieval for Clinical Decision Support
A competitive sample selection method for palmprint recognition
IScIDE'12 Proceedings of the third Sino-foreign-interchange conference on Intelligent Science and Intelligent Data Engineering
High-performance bidiagonal reduction using tile algorithms on homogeneous multicore architectures
ACM Transactions on Mathematical Software (TOMS)
An algorithm for the complete solution of quadratic eigenvalue problems
ACM Transactions on Mathematical Software (TOMS)
A practical use of regularization for supervised learning with kernel methods
Pattern Recognition Letters
Transfer learning in heterogeneous collaborative filtering domains
Artificial Intelligence
Multidimensional Systems and Signal Processing
A fast algorithm for non-negativity model selection
Statistics and Computing
On computing with the Hilbert spline transform
Advances in Computational Mathematics
ACM Transactions on Graphics (TOG) - SIGGRAPH 2013 Conference Proceedings
Efficient preconditioning of laplacian matrices for computer graphics
ACM Transactions on Graphics (TOG) - SIGGRAPH 2013 Conference Proceedings
A Novel Lattice Reduction Precoding Method
Wireless Personal Communications: An International Journal
Using file relationships in malware classification
DIMVA'12 Proceedings of the 9th international conference on Detection of Intrusions and Malware, and Vulnerability Assessment
Nontrivial landmark recommendation using geotagged photos
ACM Transactions on Intelligent Systems and Technology (TIST) - Special Sections on Paraphrasing; Intelligent Systems for Socially Aware Computing; Social Computing, Behavioral-Cultural Modeling, and Prediction
Low complexity QR-decomposition architecture using the logarithmic number system
Proceedings of the Conference on Design, Automation and Test in Europe
One-to-many: example-based mesh animation synthesis
Proceedings of the 12th ACM SIGGRAPH/Eurographics Symposium on Computer Animation
Selective sampling on graphs for classification
Proceedings of the 19th ACM SIGKDD international conference on Knowledge discovery and data mining
A novel square-root Nyquist filter design with prescribed ISI energy
Signal Processing
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Algorithm 930: FACTORIZE: An object-oriented linear system solver for MATLAB
ACM Transactions on Mathematical Software (TOMS)
Voltage propagation method for 3-D power grid analysis
DATE '12 Proceedings of the Conference on Design, Automation and Test in Europe
Accelerating radio astronomy cross-correlation with graphics processing units
International Journal of High Performance Computing Applications
GPU parallelization of a three dimensional marine CSEM code
Computers & Geosciences
Towards a functional run-time for dense NLA domain
Proceedings of the 2nd ACM SIGPLAN workshop on Functional high-performance computing
A Rate-Distortion Based Aggregation Method Using Spatial Correlation for Wireless Sensor Networks
Wireless Personal Communications: An International Journal
Symbolic algorithm for solving cyclic penta-diagonal linear systems
Numerical Algorithms
Regularized robust optimization: the optimal portfolio execution case
Computational Optimization and Applications
Proceedings of the International Conference on Bioinformatics, Computational Biology and Biomedical Informatics
Parallel distributed-memory simplex for large-scale stochastic LP problems
Computational Optimization and Applications
SLNR-Based Transmit Beamforming for MIMO Wiretap Channel
Wireless Personal Communications: An International Journal
Wireless Personal Communications: An International Journal
Iterative numerical methods for sampling from high dimensional Gaussian distributions
Statistics and Computing
A new solution for the time-space localization problem in wireless sensor network using UAV
Proceedings of the third ACM international symposium on Design and analysis of intelligent vehicular networks and applications
ACM Transactions on Knowledge Discovery from Data (TKDD) - Special Issue on ACM SIGKDD 2012
ACM Transactions on Mathematical Software (TOMS)
Multicore-based vector coprocessor sharing for performance and energy gains
ACM Transactions on Embedded Computing Systems (TECS) - Special issue on application-specific processors
IEEE/ACM Transactions on Computational Biology and Bioinformatics (TCBB)
IWANN'13 Proceedings of the 12th international conference on Artificial Neural Networks: advances in computational intelligence - Volume Part I
Finding critical blocks of information diffusion in social networks
WAIM'13 Proceedings of the 14th international conference on Web-Age Information Management
Algorithm 937: MINRES-QLP for symmetric and Hermitian linear equations and least-squares problems
ACM Transactions on Mathematical Software (TOMS)
Gauss-seidel correction algorithm: A macroscopic model-derived routing algorithm for WSNs
ACM Transactions on Sensor Networks (TOSN)
Dimensionality reduction for microarray data using local mean based discriminant analysis
ICIC'13 Proceedings of the 9th international conference on Intelligent Computing Theories and Technology
A modal precise integration method for the calculation of footbridge vibration response
Computers and Structures
Robot coordination using task-priority and sliding-mode techniques
Robotics and Computer-Integrated Manufacturing
A scalable approach to column-based low-rank matrix approximation
IJCAI'13 Proceedings of the Twenty-Third international joint conference on Artificial Intelligence
Fast iterative graph computation with block updates
Proceedings of the VLDB Endowment
A novel clustering algorithm based Gaussian mixture model for image segmentation
Proceedings of the 8th International Conference on Ubiquitous Information Management and Communication
On semi-convergence of modified HSS iteration methods
Numerical Algorithms
Efficient Trefftz collocation algorithms for elliptic problems in circular domains
Numerical Algorithms
Real-time validation of mechanical models coupling PGD and constitutive relation error
Computational Mechanics
Optimally packed chains of bulges in multishift QR algorithms
ACM Transactions on Mathematical Software (TOMS)
A Linear Systems Approach to Imaging Through Turbulence
Journal of Mathematical Imaging and Vision
Anomaly detection in large-scale data stream networks
Data Mining and Knowledge Discovery
Estimation of Spectral Bounds in Gradient Algorithms
Acta Applicandae Mathematicae: an international survey journal on applying mathematics and mathematical applications
Probabilistic Upper Bounds for the Matrix Two-Norm
Journal of Scientific Computing
Journal of Computational Physics
DMFSGD: a decentralized matrix factorization algorithm for network distance prediction
IEEE/ACM Transactions on Networking (TON)
A Blind LCMV-Based CFO Estimation for MC-CDMA Systems Over Multipath Fading Channels
Wireless Personal Communications: An International Journal
Efficient Rayleigh-Quotient Detector for Multi-layered Orthogonal STBC System
Wireless Personal Communications: An International Journal
Robust Non-linear Precoder for Multiuser MISO Systems Based on Delay and Channel Quantization
Wireless Personal Communications: An International Journal
An Improved Beamforming Method Based on SLNR for Downlink Multi-user Multi-stream MIMO System
Wireless Personal Communications: An International Journal
Soft Decision Error Assisted Layered Multiuser Detectors for MIMO 2D Spread MC DS-CDMAs
Wireless Personal Communications: An International Journal
A continuous characterization of the maximum-edge biclique problem
Journal of Global Optimization
A domain decomposition method for two-body contact problems with Tresca friction
Advances in Computational Mathematics
A universal quantum circuit scheme for finding complex eigenvalues
Quantum Information Processing
Efficient QR-Based Multi-Mode Precoding for Limited Feedback Multi-User MIMO Systems
Wireless Personal Communications: An International Journal
User Selection Method Adopting Cross-Entropy Method for a Downlink Multiuser MIMO System
Wireless Personal Communications: An International Journal
Nonlinear Audio Systems Identification Through Audio Input Gaussianization
IEEE/ACM Transactions on Audio, Speech and Language Processing (TASLP)
Preconditioning Newton---Krylov methods in nonconvex large scale optimization
Computational Optimization and Applications
Accelerating the Arnoldi-Type Algorithm for the PageRank Problem and the ProteinRank Problem
Journal of Scientific Computing
Wireless Personal Communications: An International Journal
Least squares twin parametric-margin support vector machine for classification
Applied Intelligence
The synthesis of sound figures
Multidimensional Systems and Signal Processing
International Journal of Computer Vision
An optimization framework for role mining
Journal of Computer Security
Finite Newton method for implicit Lagrangian support vector regression
International Journal of Knowledge-based and Intelligent Engineering Systems
Tensor clustering via adaptive subspace iteration
Intelligent Data Analysis
A new method for consistency test in fuzzy AHP
Journal of Intelligent & Fuzzy Systems: Applications in Engineering and Technology
Feature selection for k-means clustering stability: theoretical analysis and an algorithm
Data Mining and Knowledge Discovery
Empirical Installation of Linear Algebra Shared-Memory Subroutines for Auto-Tuning
International Journal of Parallel Programming
ReigSAC: fast discrimination of spurious keypoint correspondences on planar surfaces
Machine Vision and Applications
Hi-index | 1.08 |