Faster methods for random sampling
Communications of the ACM
Optimal prepaging and font caching
ACM Transactions on Programming Languages and Systems (TOPLAS)
Multiprecision integer division examples using arbitrary radix
ACM Transactions on Mathematical Software (TOMS)
Random sampling with a reservoir
ACM Transactions on Mathematical Software (TOMS)
How to construct random functions
Journal of the ACM (JACM)
Communications of the ACM - Special issue on parallelism
How hard is it to marry at random? (On the approximation of the permanent)
STOC '86 Proceedings of the eighteenth annual ACM symposium on Theory of computing
A fast pseudo random permutation generator with applications to cryptology
Proceedings of CRYPTO 84 on Advances in cryptology
Proceedings of CRYPTO 84 on Advances in cryptology
Computing with polynomials given by straight-line programs I: greatest common divisors
STOC '85 Proceedings of the seventeenth annual ACM symposium on Theory of computing
The cryptographic security of truncated linearly related variables
STOC '85 Proceedings of the seventeenth annual ACM symposium on Theory of computing
STOC '85 Proceedings of the seventeenth annual ACM symposium on Theory of computing
The development and proof of a formal specification for a multilevel secure system
ACM Transactions on Computer Systems (TOCS)
Provably monotone approximations
ACM SIGNUM Newsletter
Walsh-spectral test for GFSR pseudorandom numbers
Communications of the ACM
STOC '87 Proceedings of the nineteenth annual ACM symposium on Theory of computing
Constructive real interpretation of numerical programs
SIGPLAN '87 Papers of the Symposium on Interpreters and interpretive techniques
Mapping data flow programs on a VLSI array of processors
ISCA '87 Proceedings of the 14th annual international symposium on Computer architecture
A fast implementation of polynomial factorization
SYMSAC '86 Proceedings of the fifth ACM symposium on Symbolic and algebraic computation
On implementing Buchberger's algorithm for Grobner bases
SYMSAC '86 Proceedings of the fifth ACM symposium on Symbolic and algebraic computation
The Bath algebraic number package
SYMSAC '86 Proceedings of the fifth ACM symposium on Symbolic and algebraic computation
Integer multiplication and division on the HP precision architecture
ASPLOS II Proceedings of the second international conference on Architectual support for programming languages and operating systems
Large-scale randomization techniques
Proceedings on Advances in cryptology---CRYPTO '86
A model to order the encryption algorithms according to their quality
ACM SIGCOMM Computer Communication Review
Note on a pseudorandom number generator
ACM SIGNUM Newsletter
ACM '87 Proceedings of the 1987 Fall Joint Computer Conference on Exploring technology: today and tomorrow
External hashing with limited internal storage
Journal of the ACM (JACM)
Greatest common divisors of polynomials given by straight-line programs
Journal of the ACM (JACM)
Integer Multiplication and Division on the HP Precision Architecture
IEEE Transactions on Computers - Special issue on architectural support for programming languages and operating systems
Survey of software tools for evaluating reliability, availability, and serviceability
ACM Computing Surveys (CSUR)
Using induction to design algorithms
Communications of the ACM
Efficient table-free sampling methods for the exponential, Cauchy, and normal distributions
Communications of the ACM
Hashing practice: analysis of hashing and universal hashing
SIGMOD '88 Proceedings of the 1988 ACM SIGMOD international conference on Management of data
Algorithm 667: Sigma—a stochastic-integration global minimization algorithm
ACM Transactions on Mathematical Software (TOMS)
Professional skills assessment in programming competitions
ACM SIGCSE Bulletin
Dependence of multi-dimensional array references
ICS '88 Proceedings of the 2nd international conference on Supercomputing
Inferring sequences produced by pseudo-random number generators
Journal of the ACM (JACM)
Randomized algorithms and pseudorandom numbers
STOC '88 Proceedings of the twentieth annual ACM symposium on Theory of computing
Exact real computer arithmetic with continued fractions
LFP '88 Proceedings of the 1988 ACM conference on LISP and functional programming
Efficient and portable combined random number generators
Communications of the ACM
Compiling issues for supercomputers
Proceedings of the 1988 ACM/IEEE conference on Supercomputing
Computation of cyclic redundancy checks via table look-up
Communications of the ACM
Shift-register sequence random number generators on the hypercube conurrent computers
C3P Proceedings of the third conference on Hypercube concurrent computers and applications - Volume 2
IEEE Transactions on Computers
Demonstrating the dangers of Pseudo-random numbers
ACM SIGCSE Bulletin
ACM SIGACT News
Computer algebra on MIMD machine
ACM SIGSAM Bulletin
Residual hermite normal form computations
ACM Transactions on Mathematical Software (TOMS)
ACM SIGSAC Review
A fast uniform astronomical random number generator
ACM SIGSAC Review
Teaching multiple programming paradigms: a proposal for a paradigm general pseudocode
SIGCSE '89 Proceedings of the twentieth SIGCSE technical symposium on Computer science education
Random number generators are chaotic
ACM SIGPLAN Notices
Fast Rounding in Multiprecision Floating-Slash Arithmetic
IEEE Transactions on Computers
Multiparty protocols and logspace-hard pseudorandom sequences
STOC '89 Proceedings of the twenty-first annual ACM symposium on Theory of computing
Simulation of simplicity: a technique to cope with degenerate cases in geometric algorithms
SCG '88 Proceedings of the fourth annual symposium on Computational geometry
Epsilon geometry: building robust algorithms from imprecise computations
SCG '89 Proceedings of the fifth annual symposium on Computational geometry
Lookup tables, recurrences and complexity
ISSAC '89 Proceedings of the ACM-SIGSAM 1989 international symposium on Symbolic and algebraic computation
A new modular algorithm for computation of algebraic number polynomial gcds
ISSAC '89 Proceedings of the ACM-SIGSAM 1989 international symposium on Symbolic and algebraic computation
Solving systems of nonlinear polynomial equations faster
ISSAC '89 Proceedings of the ACM-SIGSAM 1989 international symposium on Symbolic and algebraic computation
A code optimization package for REDUCE
ISSAC '89 Proceedings of the ACM-SIGSAM 1989 international symposium on Symbolic and algebraic computation
Timing analysis of cyclic concurrent programs
ICSE '89 Proceedings of the 11th international conference on Software engineering
On generating random permutations with arbitrary distributions
CSC '89 Proceedings of the 17th conference on ACM Annual Computer Science Conference
On the Design of a Single-Key-Lock Mechanism Based on Newton's Interpolating Polynomial
IEEE Transactions on Software Engineering
The Consistent Comparison Problem in N-Version Software
IEEE Transactions on Software Engineering
Implementation of a hypersonic rarefied flow particle simulation on the connection machine
Proceedings of the 1989 ACM/IEEE conference on Supercomputing
Systolic Gaussian Elimination Over GF(p) with Partial Pivoting
IEEE Transactions on Computers
Incremental Computation of Squares and Sums of Squares
IEEE Transactions on Computers
Simulation of simplicity: a technique to cope with degenerate cases in geometric algorithms
ACM Transactions on Graphics (TOG)
A New Spectral Test for Nonrandomness and the DES
IEEE Transactions on Software Engineering
Generalized Signed-Digit Number Systems: A Unifying Framework for Redundant Number Representations
IEEE Transactions on Computers
Analysis of Faults in an N-Version Software Experiment
IEEE Transactions on Software Engineering
IEEE Transactions on Computers
Systolic Evaluation of Polynomial Expressions
IEEE Transactions on Computers
How to read floating point numbers accurately
PLDI '90 Proceedings of the ACM SIGPLAN 1990 conference on Programming language design and implementation
How to print floating-point numbers accurately
PLDI '90 Proceedings of the ACM SIGPLAN 1990 conference on Programming language design and implementation
Radix-16 Signed-Digit Division
IEEE Transactions on Computers
ISSAC '90 Proceedings of the international symposium on Symbolic and algebraic computation
Univariate power series expansions in REDUCE
ISSAC '90 Proceedings of the international symposium on Symbolic and algebraic computation
Parallel univariate polynomial factorization on shared-memory multiprocessors
ISSAC '90 Proceedings of the international symposium on Symbolic and algebraic computation
Refutational proofs of geometry theorems via characteristic set computation
ISSAC '90 Proceedings of the international symposium on Symbolic and algebraic computation
Hardware speedups in long integer multiplication
SPAA '90 Proceedings of the second annual ACM symposium on Parallel algorithms and architectures
Preconditioning index set transformations for time-optimal affine scheduling
SPAA '90 Proceedings of the second annual ACM symposium on Parallel algorithms and architectures
Enumeration and visibility problems in integer lattices (extended abstract)
SCG '90 Proceedings of the sixth annual symposium on Computational geometry
Adequacy of checksum algorithms for computer virus detection
SIGSMALL '90 Proceedings of the 1990 ACM SIGSMALL/PC symposium on Small systems
Random drop congestion control
SIGCOMM '90 Proceedings of the ACM symposium on Communications architectures & protocols
Output sensitive construction of levels and Voronoi diagrams in Rd of order 1 to k
STOC '90 Proceedings of the twenty-second annual ACM symposium on Theory of computing
Pseudo-random generators under uniform assumptions
STOC '90 Proceedings of the twenty-second annual ACM symposium on Theory of computing
STOC '90 Proceedings of the twenty-second annual ACM symposium on Theory of computing
Transformations between tree permutations and inversion tables
CSC '90 Proceedings of the 1990 ACM annual conference on Cooperation
Recognition of Hurwitz polynomials
ACM SIGSAM Bulletin - Issue #94
A program for computing Puiseux expansions
ACM SIGSAM Bulletin - Issue #94
Exact Real Computer Arithmetic with Continued Fractions
IEEE Transactions on Computers
An Algorithm for Redundant Binary Bit-Pipelined Rational Arithmetic
IEEE Transactions on Computers
An accurate elementary mathematical library for the IEEE floating point standard
ACM Transactions on Mathematical Software (TOMS)
Implementing a random number package with splitting facilities
ACM Transactions on Mathematical Software (TOMS)
Pseudorandom Bit Generators in Stream-Cipher Cryptography
Computer - Special issue on cryptography
Effective Noether irreducibility forms and applications
STOC '91 Proceedings of the twenty-third annual ACM symposium on Theory of computing
A lower bound for integer greatest common divisor computations
Journal of the ACM (JACM)
A graph generation software package
SIGCSE '91 Proceedings of the twenty-second SIGCSE technical symposium on Computer science education
Algorithm 693: a FORTRAN package for floating-point multiple-precision arithmetic
ACM Transactions on Mathematical Software (TOMS)
Parallelization of a radiation transport simulation code on the BBN TC2000 parallel computer
Proceedings of the 1990 ACM/IEEE conference on Supercomputing
Exponentiating faster with addition chains
EUROCRYPT '90 Proceedings of the workshop on the theory and application of cryptographic techniques on Advances in cryptology
VICTOR: an efficient RSA hardware implementation
EUROCRYPT '90 Proceedings of the workshop on the theory and application of cryptographic techniques on Advances in cryptology
Efficient and portable combined Tausworthe random number generators
ACM Transactions on Modeling and Computer Simulation (TOMACS)
A New Parameterization of Digital Straight Lines
IEEE Transactions on Pattern Analysis and Machine Intelligence
A survey of hardware implementations of RSA (abstract)
CRYPTO '89 Proceedings on Advances in cryptology
CRYPTO '89 Proceedings on Advances in cryptology
Rational function decomposition
ISSAC '91 Proceedings of the 1991 international symposium on Symbolic and algebraic computation
Practical factorization of univariate polynomials over finite fields
ISSAC '91 Proceedings of the 1991 international symposium on Symbolic and algebraic computation
Efficient techniques for multipolynomial resultant algorithms
ISSAC '91 Proceedings of the 1991 international symposium on Symbolic and algebraic computation
Fast reduction and composition of binary quadratic forms
ISSAC '91 Proceedings of the 1991 international symposium on Symbolic and algebraic computation
ISSAC '91 Proceedings of the 1991 international symposium on Symbolic and algebraic computation
PAC: first experiments on a 128 transputers méganode
ISSAC '91 Proceedings of the 1991 international symposium on Symbolic and algebraic computation
Hardware speedups in long integer multiplication
ACM SIGARCH Computer Architecture News - Symposium on parallel algorithms and architectures
Adequacy of checksum algorithms for computer virus detection
ACM SIGSMALL/PC Notes
A rational function arithmetic and simplification system in common Lisp
ACM SIGSAM Bulletin
Massively distributed computing and factoring large integers
Communications of the ACM
Pseudorandom Rounding for Truncated Multipliers
IEEE Transactions on Computers
Computing A*B (mod N) efficiently in ANSI C
ACM SIGPLAN Notices
Analyzing algorithms by simulation: variance reduction techniques and simulation speedups
ACM Computing Surveys (CSUR)
A new inversive congruential pseudorandom number generator with power of two modulus
ACM Transactions on Modeling and Computer Simulation (TOMACS)
Good pedagogical random number generators
SIGCSE '92 Proceedings of the twenty-third SIGCSE technical symposium on Computer science education
Synthetic Traces for Trace-Driven Simulation of Cache Memories
IEEE Transactions on Computers
On parallel complexity of integer linear programming, GCD and the iterated mod function
SODA '92 Proceedings of the third annual ACM-SIAM symposium on Discrete algorithms
IEEE Transactions on Computers - Special issue on computer arithmetic
Game playing as a technique for teaching parallel computing concepts
ACM SIGCSE Bulletin
A comparison of four pseudo random number generators implemented in Ada
ACM SIGSIM Simulation Digest
ISSAC '92 Papers from the international symposium on Symbolic and algebraic computation
Parallel univariate p-adic lifting on shared-memory multiprocessors
ISSAC '92 Papers from the international symposium on Symbolic and algebraic computation
Easy numbers for the elliptic curve primality proving algorithm
ISSAC '92 Papers from the international symposium on Symbolic and algebraic computation
A general algorithm for data dependence analysis
ICS '92 Proceedings of the 6th international conference on Supercomputing
A hierarchical single-key-lock access control using the Chinese remainder theorem
SAC '92 Proceedings of the 1992 ACM/SIGAPP Symposium on Applied computing: technological challenges of the 1990's
ACM Transactions on Modeling and Computer Simulation (TOMACS)
Fast Addition of Large Integers
IEEE Transactions on Computers
New Fault Tolerant Techniques for Residue Number Systems
IEEE Transactions on Computers
Programming by multiset transformation
Communications of the ACM
A binary algorithm for the Jacobi symbol
ACM SIGSAM Bulletin
Generating a sample from a k-cell table with changing probabilities in O(log2k time
ACM Transactions on Mathematical Software (TOMS)
On the lattice structure of the add-with-carry and subtract-with-borrow random number generators
ACM Transactions on Modeling and Computer Simulation (TOMACS)
A generalization of the binary GCD algorithm
ISSAC '93 Proceedings of the 1993 international symposium on Symbolic and algebraic computation
An Ada package for multi-precision integer arithmetic
ACM SIGSMALL/PC Notes
A massively parallel optimizer for expression evaluation
ICS '93 Proceedings of the 7th international conference on Supercomputing
Partition testing, stratified sampling, and cluster analysis
SIGSOFT '93 Proceedings of the 1st ACM SIGSOFT symposium on Foundations of software engineering
New methods for pseudorandom numbers and pseudorandom vector generation
WSC '92 Proceedings of the 24th conference on Winter simulation
Fast and reliable random-number generation
WSC '92 Proceedings of the 24th conference on Winter simulation
Analysis of add-with-carry and subtract-with-borrow generators
WSC '92 Proceedings of the 24th conference on Winter simulation
A portable random number generator well suited for the rejection method
ACM Transactions on Mathematical Software (TOMS)
A search for good multiple recursive random number generators
ACM Transactions on Modeling and Computer Simulation (TOMACS)
Randomized algorithms and pseudorandom numbers
Journal of the ACM (JACM)
ACM Transactions on Programming Languages and Systems (TOPLAS)
Pseudorandom vector generation by the inversive method
ACM Transactions on Modeling and Computer Simulation (TOMACS)
Thermodynamics and garbage collection
ACM SIGPLAN Notices
ACM Transactions on Modeling and Computer Simulation (TOMACS)
Factoring high-degree polynomials by the black box Berlekamp algorithm
ISSAC '94 Proceedings of the international symposium on Symbolic and algebraic computation
Fast polynomial dispersion computation and its application to indefinite summation
ISSAC '94 Proceedings of the international symposium on Symbolic and algebraic computation
Signature functions for algebraic numbers
ISSAC '94 Proceedings of the international symposium on Symbolic and algebraic computation
Fast algorithms for rational forms of integer matrices
ISSAC '94 Proceedings of the international symposium on Symbolic and algebraic computation
New protocols for third-party-based authentication and secure broadcast
CCS '94 Proceedings of the 2nd ACM Conference on Computer and communications security
How to break Gifford's cipher (extended abstract)
CCS '94 Proceedings of the 2nd ACM Conference on Computer and communications security
Parallel collision search with application to hash functions and discrete logarithms
CCS '94 Proceedings of the 2nd ACM Conference on Computer and communications security
Test pattern generation based on arithmetic operations
ICCAD '94 Proceedings of the 1994 IEEE/ACM international conference on Computer-aided design
Theory and Applications of Cellular Automata in Cryptography
IEEE Transactions on Computers
DAC '94 Proceedings of the 31st annual Design Automation Conference
Reservoir-sampling algorithms of time complexity O(n(1 + log(N/n)))
ACM Transactions on Mathematical Software (TOMS)
Strength reduction of multiplications by integer constants
ACM SIGPLAN Notices
Fast floating-point processing in Common Lisp
ACM Transactions on Mathematical Software (TOMS)
The accelerated integer GCD algorithm
ACM Transactions on Mathematical Software (TOMS)
Height as a coefficient bound for univariate polynomial factors, part II
ACM SIGSAM Bulletin
A New Public-Key Cipher System Based Upon the Diophantine Equations
IEEE Transactions on Computers
Random number generators are chaotic
Communications of the ACM
Pseudo-random number generators for a calculator
Communications of the ACM
Modulo Reduction in Residue Number Systems
IEEE Transactions on Parallel and Distributed Systems
The exact analysis of sparse rectangular linear systems
ACM Transactions on Mathematical Software (TOMS)
Comparing the combinational complexities of arithmetic functions
Journal of the ACM (JACM)
Semantics of query languages for network databases
ACM Transactions on Database Systems (TODS)
The multiple prime random number generator
ACM Transactions on Mathematical Software (TOMS)
Algorithm 659: Implementing Sobol's quasirandom sequence generator
ACM Transactions on Mathematical Software (TOMS)
Solving systems of nonlinear equations using the nonzero value of the topological degree
ACM Transactions on Mathematical Software (TOMS)
ACM Transactions on Mathematical Software (TOMS)
Evaluation of the heuristic polynomial GCD
ISSAC '95 Proceedings of the 1995 international symposium on Symbolic and algebraic computation
A solution to the extended gcd problem
ISSAC '95 Proceedings of the 1995 international symposium on Symbolic and algebraic computation
An analysis of Lehmer's Euclidean GCD algorithm
ISSAC '95 Proceedings of the 1995 international symposium on Symbolic and algebraic computation
Broadcast disks: data management for asymmetric communication environments
SIGMOD '95 Proceedings of the 1995 ACM SIGMOD international conference on Management of data
Linear recurrences with carry as uniform random number generators
WSC '95 Proceedings of the 27th conference on Winter simulation
Combination of multiplicative congruential random-number generators with safe prime modulus
WSC '95 Proceedings of the 27th conference on Winter simulation
Subquadratic-time factoring of polynomials over finite fields
STOC '95 Proceedings of the twenty-seventh annual ACM symposium on Theory of computing
Work efficient parallel solution of Toeplitz systems and polynomial GCD
STOC '95 Proceedings of the twenty-seventh annual ACM symposium on Theory of computing
Redundant Integer Representations and Fast Exponentiation
Designs, Codes and Cryptography - Special issue dedicated to Gustavus J. Simmons
IEEE Transactions on Parallel and Distributed Systems
Arithmetic Additive Generators of Pseudo-Exhaustive Test Patterns
IEEE Transactions on Computers
Generic Gram-Schmidt orthogonalization by exact division
ISSAC '96 Proceedings of the 1996 international symposium on Symbolic and algebraic computation
Asymptotic expansions of exp-log functions
ISSAC '96 Proceedings of the 1996 international symposium on Symbolic and algebraic computation
Parallel additive lagged Fibonacci random number generators
ICS '96 Proceedings of the 10th international conference on Supercomputing
Towards practical constraint databases (extended abstract)
PODS '96 Proceedings of the fifteenth ACM SIGACT-SIGMOD-SIGART symposium on Principles of database systems
A model for the prediction of R-tree performance
PODS '96 Proceedings of the fifteenth ACM SIGACT-SIGMOD-SIGART symposium on Principles of database systems
Efficient approximation algorithms for semidefinite programs arising from MAX CUT and COLORING
STOC '96 Proceedings of the twenty-eighth annual ACM symposium on Theory of computing
DAC '96 Proceedings of the 33rd annual Design Automation Conference
Register-transfer level estimation techniques for switching activity and power consumption
Proceedings of the 1996 IEEE/ACM international conference on Computer-aided design
Inversive and linear congruential pseudorandom number generators in empirical tests
ACM Transactions on Modeling and Computer Simulation (TOMACS)
Improved hierarchical bit-vector compression in document retrieval systems
Proceedings of the 9th annual international ACM SIGIR conference on Research and development in information retrieval
Balancing push and pull for data broadcast
SIGMOD '97 Proceedings of the 1997 ACM SIGMOD international conference on Management of data
O(n)-Depth Modular Exponentiation Circuit Algorithm
IEEE Transactions on Computers
Data structures for efficient broker implementation
ACM Transactions on Information Systems (TOIS)
Modeling word occurrences for the compression of concordances
ACM Transactions on Information Systems (TOIS)
Recursive hashing functions for n-grams
ACM Transactions on Information Systems (TOIS)
PP-MESS-SIM: A Flexible and Extensible Simulator for Evaluating Multicomputer Networks
IEEE Transactions on Parallel and Distributed Systems
On the anomaly of ran1() in Monte Carlo pricing of financial derivatives
WSC '96 Proceedings of the 28th conference on Winter simulation
Conference Companion on Human Factors in Computing Systems
Paul Erdös (1913-996): his influence on the theory of computing
STOC '97 Proceedings of the twenty-ninth annual ACM symposium on Theory of computing
Lazy multiplication of formal power series
ISSAC '97 Proceedings of the 1997 international symposium on Symbolic and algebraic computation
Fast polynomial factorization over high algebraic extensions of finite fields
ISSAC '97 Proceedings of the 1997 international symposium on Symbolic and algebraic computation
Asymptotically fast computation of subresultants
ISSAC '97 Proceedings of the 1997 international symposium on Symbolic and algebraic computation
An Optimal Algorithm for Scheduling Soft Aperiodic Tasks in Dynamic-Priority Preemptive Systems
IEEE Transactions on Software Engineering
Computing exact geometric predicates using modular arithmetic with single precision
SCG '97 Proceedings of the thirteenth annual symposium on Computational geometry
A flow-based approach to datagram security
SIGCOMM '97 Proceedings of the ACM SIGCOMM '97 conference on Applications, technologies, architectures, and protocols for computer communication
Multiplicative, congruential random-number generators with multiplier ± 2k1 ± 2k2 and modulus 2p - 1
ACM Transactions on Mathematical Software (TOMS)
Computation of replicable functions on Risa/Asir
PASCO '97 Proceedings of the second international symposium on Parallel symbolic computation
Mixed representation of polynomials oriented towards fast parallel shift
PASCO '97 Proceedings of the second international symposium on Parallel symbolic computation
Uniform random number generators: a review
Proceedings of the 29th conference on Winter simulation
Efficient Hardware Hashing Functions for High Performance Computers
IEEE Transactions on Computers
Mersenne twister: a 623-dimensionally equidistributed uniform pseudo-random number generator
ACM Transactions on Modeling and Computer Simulation (TOMACS) - Special issue on uniform random number generation
The weighted spectral test: diaphony
ACM Transactions on Modeling and Computer Simulation (TOMACS) - Special issue on uniform random number generation
Bad subsequences of well-known linear congruential pseudorandom number generators
ACM Transactions on Modeling and Computer Simulation (TOMACS) - Special issue on uniform random number generation
FPGA '98 Proceedings of the 1998 ACM/SIGDA sixth international symposium on Field programmable gate arrays
Efficient Multiplier Architectures for Galois Fields GF(24n)
IEEE Transactions on Computers
Approximating the bandwidth via volume respecting embeddings (extended abstract)
STOC '98 Proceedings of the thirtieth annual ACM symposium on Theory of computing
Development of a mathematical subroutine library for Fujitsu vector parallel processors
ICS '98 Proceedings of the 12th international conference on Supercomputing
Techniques for empirical testing of parallel random number generators
ICS '98 Proceedings of the 12th international conference on Supercomputing
Don't trust parallel Monte Carlo!
PADS '98 Proceedings of the twelfth workshop on Parallel and distributed simulation
Multidimensional chains of recurrences
ISSAC '98 Proceedings of the 1998 international symposium on Symbolic and algebraic computation
A practical secure physical random bit generator
CCS '98 Proceedings of the 5th ACM conference on Computer and communications security
International Journal of Computer Vision
Functional differentiation of computer programs
ICFP '98 Proceedings of the third ACM SIGPLAN international conference on Functional programming
Analysis of Iterated Modular Exponentiation: The Orbitsof x^α mod N
Designs, Codes and Cryptography
Montgomery Multiplication in GF(2^k
Designs, Codes and Cryptography
Uniform random number generators
Proceedings of the 30th conference on Winter simulation
IEEE Transactions on Computers
IEEE/ACM Transactions on Networking (TON)
Approximate testing with relative error
STOC '99 Proceedings of the thirty-first annual ACM symposium on Theory of computing
Proceedings of the eighteenth annual ACM symposium on Principles of distributed computing
A large-scale study of file-system contents
SIGMETRICS '99 Proceedings of the 1999 ACM SIGMETRICS international conference on Measurement and modeling of computer systems
A fast Fourier transform compiler
Proceedings of the ACM SIGPLAN 1999 conference on Programming language design and implementation
Interval methods for kinetic simulations
SCG '99 Proceedings of the fifteenth annual symposium on Computational geometry
Toward Correctly Rounded Transcendentals
IEEE Transactions on Computers
Noise generators for the simulation of digital communication systems
ANSS '91 Proceedings of the 24th annual symposium on Simulation
Off-Chip Diagnosis of Aperture Jitter in Full-Flash Analog-to-Digital Converters
Journal of Electronic Testing: Theory and Applications - Special issue on the IEEE European Test Workshop
On feasible multivariate polynomial interpolations over arbitrary fields
ISSAC '99 Proceedings of the 1999 international symposium on Symbolic and algebraic computation
How fast can we compute products?
ISSAC '99 Proceedings of the 1999 international symposium on Symbolic and algebraic computation
Computing special powers in finite fields: extended abstract
ISSAC '99 Proceedings of the 1999 international symposium on Symbolic and algebraic computation
Pattern Matching Image Compression: Algorithmic and Empirical Results
IEEE Transactions on Pattern Analysis and Machine Intelligence
Design of practical and provably good random number generators
Proceedings of the sixth annual ACM-SIAM symposium on Discrete algorithms
Counting and random generation of strings in regular languages
Proceedings of the sixth annual ACM-SIAM symposium on Discrete algorithms
Dynamic generation of discrete random variates
SODA '93 Proceedings of the fourth annual ACM-SIAM Symposium on Discrete algorithms
Asymptotic experimental analysis for the Held-Karp traveling salesman bound
Proceedings of the seventh annual ACM-SIAM symposium on Discrete algorithms
On-line difference maximization
SODA '97 Proceedings of the eighth annual ACM-SIAM symposium on Discrete algorithms
Optimal prediction for prefetching in the worst case
SODA '94 Proceedings of the fifth annual ACM-SIAM symposium on Discrete algorithms
Communications of the ACM
Closed-Form Expression for the Average Weight of Signed-Digit Representations
IEEE Transactions on Computers
Empirical Performance Evaluation of Graphics Recognition Systems
IEEE Transactions on Pattern Analysis and Machine Intelligence
Simulation and analysis with IMSL routines
WSC '86 Proceedings of the 18th conference on Winter simulation
Writing simulations from scratch: Pascal implementations
WSC '87 Proceedings of the 19th conference on Winter simulation
A system for Monte Carlo experimentation
WSC '86 Proceedings of the 18th conference on Winter simulation
A database encryption system with subkeys
ACM Transactions on Database Systems (TODS)
Exact real arithmetic: a case study in higher order programming
LFP '86 Proceedings of the 1986 ACM conference on LISP and functional programming
Reconfigurable, retargetable bignums: a case study in efficient, portable Lisp system building
LFP '86 Proceedings of the 1986 ACM conference on LISP and functional programming
SODA '90 Proceedings of the first annual ACM-SIAM symposium on Discrete algorithms
Form and Content in Computer Science (1970 ACM turing lecture)
Journal of the ACM (JACM)
On Euclid's Algorithm and the Computation of Polynomial Greatest Common Divisors
Journal of the ACM (JACM)
On Euclid's Algorithm and the Theory of Subresultants
Journal of the ACM (JACM)
The Calculation of Multivariate Polynomial Resultants
Journal of the ACM (JACM)
Integer Arithmetic Algorithms for Polynomial Real Zero Determination
Journal of the ACM (JACM)
The Exact Solution of Systems of Linear Equations with Polynomial Coefficients
Journal of the ACM (JACM)
Multivariate Polynomial Factorization
Journal of the ACM (JACM)
Corrigendum: `` Allocating Storage for Extendible Arrays''
Journal of the ACM (JACM)
The Complexity of Some Simple Retrieval Problems
Journal of the ACM (JACM)
A Sorting Algorithm for Polynomial Multiplication
Journal of the ACM (JACM)
Convergence Estimates for the Distribution of Trailing Digits
Journal of the ACM (JACM)
Restructuring of Arithmetic Expressions For Parallel Evaluation
Journal of the ACM (JACM)
On the Efficiency of a Polynomial Irreducibility Test
Journal of the ACM (JACM)
Fast Algorithms for Manipulating Formal Power Series
Journal of the ACM (JACM)
Improved Trailing Digits Estimates Applied to Optimal Computer Arithmetic
Journal of the ACM (JACM)
Journal of the ACM (JACM)
Analysis of the Search Performance of Coalesced Hashing
Journal of the ACM (JACM)
A new parallel multiplication algorithm and its VLSI implementation
CSC '88 Proceedings of the 1988 ACM sixteenth annual conference on Computer science
Fast Arithmetic for Public-Key Algorithms in Galois Fields with Composite Exponents
IEEE Transactions on Computers
On a Lightwave Network Topology Using Kautz Digraphs
IEEE Transactions on Computers
Simulation-based estimation of quantiles
Proceedings of the 31st conference on Winter simulation: Simulation---a bridge to the future - Volume 1
Monkeys, gambling, and return times: assessing pseudorandomness
Proceedings of the 31st conference on Winter simulation: Simulation---a bridge to the future - Volume 1
Construction through decomposition: a divide-and-conquer algorithm for the N-queens problem
ACM '86 Proceedings of 1986 ACM Fall joint computer conference
Antialiasing through stochastic sampling
SIGGRAPH '85 Proceedings of the 12th annual conference on Computer graphics and interactive techniques
Strategic directions in simulation research (panel)
Proceedings of the 31st conference on Winter simulation: Simulation---a bridge to the future - Volume 2
Beware of linear congruential generators with multipliers of the form a = ±2q ±2r
ACM Transactions on Mathematical Software (TOMS)
Lattice structure of pseudorandom sequences from shift-register generators
WSC' 90 Proceedings of the 22nd conference on Winter simulation
Vectorization and parallelization of transport Monte Carlo simulation codes
WSC' 90 Proceedings of the 22nd conference on Winter simulation
A factoring algorithm in F2[x]
SAC '98 Proceedings of the 1998 ACM symposium on Applied Computing
An authentication-combined access control scheme using a geometric approach in distributed systems
SAC '97 Proceedings of the 1997 ACM symposium on Applied computing
Randomness of finite strings: a reconstructive approach
SAC '97 Proceedings of the 1997 ACM symposium on Applied computing
Complexity and Fast Algorithms for Multiexponentiations
IEEE Transactions on Computers
Computational Economics - Special issue on programming languages
Proceedings of the 14th international conference on Supercomputing
Pitfalls in computing with pseudorandom determinants
Proceedings of the sixteenth annual symposium on Computational geometry
Learning functions represented as multiplicity automata
Journal of the ACM (JACM)
Applications of the polynomial s-power basis in geometry processing
ACM Transactions on Graphics (TOG)
Designs, Codes and Cryptography - Special issue on towards a quarter-century of public key cryptography
On accelerated methods to evaluate sums of products of rational numbers
ISSAC '00 Proceedings of the 2000 international symposium on Symbolic and algebraic computation
Some remarks on parallel exponentiation (extended abstract)
ISSAC '00 Proceedings of the 2000 international symposium on Symbolic and algebraic computation
Computing in Science and Engineering
Getting rid of correlations among pseudorandom numbers: discarding versus tempering
ACM Transactions on Modeling and Computer Simulation (TOMACS)
A brief history of cellular automata
ACM Computing Surveys (CSUR)
Optimal Left-to-Right Binary Signed-Digit Recoding
IEEE Transactions on Computers - Special issue on computer arithmetic
The Montgomery Modular Inverse-Revisited
IEEE Transactions on Computers - Special issue on computer arithmetic
Monte Carlo arithmetic: how to gamble with floating point and win
Computing in Science and Engineering
Parallel Multiplication in GF(2^k) usingPolynomial Residue Arithmetic
Designs, Codes and Cryptography
On-the-Fly Algorithms and Sequential Machines
IEEE Transactions on Computers
On the Generation of High-Quality Random Numbers by Two-Dimensional Cellular Automata
IEEE Transactions on Computers
The Algebraic Solution of Sparse Linear Systems via Minor Expansion
ACM Transactions on Mathematical Software (TOMS)
Improving a Poor Random Number Generator
ACM Transactions on Mathematical Software (TOMS)
Factoring Polynomials Over Algebraic Number Fields
ACM Transactions on Mathematical Software (TOMS)
The Exact Solution of Linear Equations with Rational Function Coefficients
ACM Transactions on Mathematical Software (TOMS)
An Efficient Algorithm for the Kolmogorov-Smirnov and Lilliefors Tests
ACM Transactions on Mathematical Software (TOMS)
A Comparison of Algorithms for the Exact Solution of Linear Equations
ACM Transactions on Mathematical Software (TOMS)
Normal Random Numbers: Using Machine Analysis to Choose the Best Algorithm
ACM Transactions on Mathematical Software (TOMS)
Congruence Techniques for the Exact Solution of Integer Systems of Linear Equations
ACM Transactions on Mathematical Software (TOMS)
A Fortran Multiple-Precision Arithmetic Package
ACM Transactions on Mathematical Software (TOMS)
The Subresultant PRS Algorithm
ACM Transactions on Mathematical Software (TOMS)
An Efficient One-Way Enciphering Algorithm
ACM Transactions on Mathematical Software (TOMS)
Generating Sorted Lists of Random Numbers
ACM Transactions on Mathematical Software (TOMS)
A Simultaneous Iteration Algorithm for Real Matrices
ACM Transactions on Mathematical Software (TOMS)
Software for Doubled-Precision Floating-Point Computations
ACM Transactions on Mathematical Software (TOMS)
Remark on “Algorithm 334: Normal Random Deviates”
ACM Transactions on Mathematical Software (TOMS)
Computer Generation of Poisson Deviates from Modified Normal Distributions
ACM Transactions on Mathematical Software (TOMS)
A Significance Rule for Multiple-Precision Arithmetic
ACM Transactions on Mathematical Software (TOMS)
An Improved Algorithm for Ordered Sequential Random Sampling
ACM Transactions on Mathematical Software (TOMS)
Operating System Structures to Support Security and Reliable Software
ACM Computing Surveys (CSUR)
A Survey of Analysis Techniques for Discrete Algorithms
ACM Computing Surveys (CSUR)
ACM Computing Surveys (CSUR)
ACM Computing Surveys (CSUR)
Tabulation Techniques for Recursive Programs
ACM Computing Surveys (CSUR)
Recursion As an Effective Step in Program Development
ACM Transactions on Programming Languages and Systems (TOPLAS)
Particle Systems—a Technique for Modeling a Class of Fuzzy Objects
ACM Transactions on Graphics (TOG)
Cryptographic solution to a problem of access control in a hierarchy
ACM Transactions on Computer Systems (TOCS)
Some negative results concerning prime number generators
Communications of the ACM
A statistical study of the accuracy of floating point number systems
Communications of the ACM - Special 25th Anniversary Issue
A method for obtaining digital signatures and public-key cryptosystems
Communications of the ACM - Special 25th Anniversary Issue
The study of an ordered minimal perfect hashing scheme
Communications of the ACM
Speeding up an overrelaxation method of division in Radix-2n machine
Communications of the ACM
The k-distribution of generalized feedback shift register pseudorandom numbers
Communications of the ACM
An empirical study of insertion and deletion in binary search trees
Communications of the ACM
File organization: implementation of a method guaranteeing retrieval in one access
Communications of the ACM
Generating gamma variates by a modified rejection technique
Communications of the ACM
Performance Metrics for Embedded Parallel Pipelines
IEEE Transactions on Parallel and Distributed Systems
Algorithm 806: SPRNG: a scalable library for pseudorandom number generation
ACM Transactions on Mathematical Software (TOMS)
An overrelaxation for a numerical inverse of a constant
Communications of the ACM
Communications of the ACM
A method for obtaining digital signatures and public-key cryptosystems
Communications of the ACM
Communications of the ACM
Implementing Quicksort programs
Communications of the ACM
A numbering system for combinations
Communications of the ACM
A design for a number theory package with an optimized trial division routine
Communications of the ACM
A user authentication scheme not requiring secrecy in the computer
Communications of the ACM
Horner's rule for the evaluation of general closed queueing networks
Communications of the ACM
The optimality of Winograd's formula
Communications of the ACM
Algorithm 425: generation of random correlated normal variables [G5]
Communications of the ACM
Computer methods for sampling from the exponential and normal distributions
Communications of the ACM
Algorithm 487: Exact cumulative distribution of the Kolmorgorov-Smirnov statistic for small samples
Communications of the ACM
Algorithm 488: A Gaussian pseudo-random number generator
Communications of the ACM
Garbage collection for virtual memory computer systems
Communications of the ACM
A computer science course program for small colleges
Communications of the ACM
A statistical study of the accuracy of floating point number systems
Communications of the ACM
Another method of converting from hexadecimal to decimal
Communications of the ACM
Comment on London's certification of algorithms 245
Communications of the ACM
Algorithm 406: exact solution of linear equations using residue arithmetic [F4]
Communications of the ACM
The Altran system for rational function manipulation — a survey
Communications of the ACM
Algorithm and bound for the greatest common divisor of n integers
Communications of the ACM
A multiple-precision division algorithm
Communications of the ACM
On accurate floating-point summation
Communications of the ACM
Communications of the ACM
An Optimal Fault-Tolerant Routing Algorithm for Double-Loop Networks
IEEE Transactions on Computers
Usability analysis with Markov models
ACM Transactions on Computer-Human Interaction (TOCHI)
Computing in Science and Engineering
Towards practical deteministic write-all algorithms
Proceedings of the thirteenth annual ACM symposium on Parallel algorithms and architectures
SCG '01 Proceedings of the seventeenth annual symposium on Computational geometry
Proceedings of the 38th annual Design Automation Conference
On String Replacement Exponentiation
Designs, Codes and Cryptography
On a fast and portable uniform quasi-random number generator
ACM SIGSIM Simulation Digest
On computational properties of chains of recurrences
Proceedings of the 2001 international symposium on Symbolic and algebraic computation
Experiments with list ranking for explicit multi-threaded (XMT) instruction parallelism
Journal of Experimental Algorithmics (JEA)
High-Radix Montgomery Modular Exponentiation on Reconfigurable Hardware
IEEE Transactions on Computers
On the statistical independence of compound pseudorandom numbers over part of the period
ACM Transactions on Modeling and Computer Simulation (TOMACS)
FPGA implementation of neighborhood-of-four cellular automata random number generators
FPGA '02 Proceedings of the 2002 ACM/SIGDA tenth international symposium on Field-programmable gate arrays
Selective-run built-in self-test using an embedded processor
Proceedings of the 12th ACM Great Lakes symposium on VLSI
An algebraic approach to IP traceback
ACM Transactions on Information and System Security (TISSEC)
A fast Euclidean algorithm for Gaussian integers
Journal of Symbolic Computation
Random number generation with primitive pentanomials
ACM Transactions on Modeling and Computer Simulation (TOMACS)
Implementation of fast RSA key generation on smart cards
Proceedings of the 2002 ACM symposium on Applied computing
View representation in logical database design
SIGMOD '78 Proceedings of the 1978 ACM SIGMOD international conference on management of data
Algorithmic derandomization via complexity theory
STOC '02 Proceedings of the thiry-fourth annual ACM symposium on Theory of computing
Proceedings of the 32nd conference on Winter simulation
Architectural tradeoff in implementing RSA processors
ACM SIGARCH Computer Architecture News
Optimal crawling strategies for web search engines
Proceedings of the 11th international conference on World Wide Web
An empirical comparison: tree and lattice structures for symbolic data bases
SIGIR '79 Proceedings of the 2nd annual international ACM SIGIR conference on Information storage and retrieval: information implications into the eighties
POPL '73 Proceedings of the 1st annual ACM SIGACT-SIGPLAN symposium on Principles of programming languages
Line generation for incremental and raster devices
SIGGRAPH '77 Proceedings of the 4th annual conference on Computer graphics and interactive techniques
Software for uniform random number generation: distinguishing the good and the bad
Proceedings of the 33nd conference on Winter simulation
Quantile and histogram estimation
Proceedings of the 33nd conference on Winter simulation
Theoretical Computer Science
Finite and infinite pseudorandom binary words
Theoretical Computer Science
Design, implementation and testing of extended and mixed precision BLAS
ACM Transactions on Mathematical Software (TOMS)
Theoretical Computer Science
The continuum as a final coalgebra
Theoretical Computer Science
The MIX computer as an educational tool
ACM '72 Proceedings of the ACM annual conference - Volume 1
Basic analytic combinatorics of directed lattice paths
Theoretical Computer Science
Bootstrapping one-sided flexible arrays
Proceedings of the seventh ACM SIGPLAN international conference on Functional programming
Generating normal random deviates in APL
ACM SIGAPL APL Quote Quad
MAPM, a portable arbitrary precision math library in C
C/C++ Users Journal
A framework for table driven testing of Java classes
Software—Practice & Experience
ACM SIGAPL APL Quote Quad
On Quasiholographic Coding of Digital Images
Automation and Remote Control
Some facets of complexity theory and cryptography: A five-lecture tutorial
ACM Computing Surveys (CSUR)
Cybernetics and Systems Analysis
Pseudorandom Number Generators Based on Chaotic Dynamical Systems
Open Systems & Information Dynamics
Automated statistical methods for measuring the strength of block ciphers
Statistics and Computing
Methods for testing subblock patterns
Statistics and Computing
Cosmological lower bound on the circuit complexity of a small problem in logic
Journal of the ACM (JACM)
Implementation of a portable and reproducible parallel pseudorandom number generator
Proceedings of the 1994 ACM/IEEE conference on Supercomputing
The Journal of Supercomputing
Designs, Codes and Cryptography
A Rigorous Proof of the Waterloo Algorithm for the Discrete Logarithm Problem
Designs, Codes and Cryptography
Factored Edge-Valued Binary Decision Diagrams
Formal Methods in System Design
Scheduling of Offset Free Systems
Real-Time Systems
A New \mathcal{NP}-Complete Problem and Public-Key Identification
Designs, Codes and Cryptography
Very High-Speed True Random Noise Generator
Analog Integrated Circuits and Signal Processing
Parallel Implementations of the Selection Problem: A Case Study
International Journal of Parallel Programming
Hardware Generation of Random Single Input Change Test Sequences
Journal of Electronic Testing: Theory and Applications
Functional Differentiation of Computer Programs
Higher-Order and Symbolic Computation
Spreading Codes Generator for Wireless CDMA Networks
Wireless Personal Communications: An International Journal
Random lattices, threshold phenomena and efficient reduction algorithms
Theoretical Computer Science
Theoretical Computer Science
Computing rational forms of integer matrices
Journal of Symbolic Computation
Konrad Zuse's Legacy: The Architecture of the Z1 and Z3
IEEE Annals of the History of Computing
Numerical Computation: Methods, Software, and Analysis
IEEE Computational Science & Engineering
Scientific Computation and Functional Programming
Computing in Science and Engineering
Computing in Science and Engineering
Computing in Science and Engineering
IEEE Micro
New Redundant Representations of Complex Numbers and Vectors
IEEE Transactions on Computers
Signed Digit Representations of Minimal Hamming Weight
IEEE Transactions on Computers
Parameter Selection for Server-Aided RSA Computation Schemes
IEEE Transactions on Computers
IEEE Transactions on Computers
Bit-Level Systolic Array for Fast Exponentiation in GF(2/sup m/)
IEEE Transactions on Computers
IEEE Transactions on Computers
More on Squaring and Multiplying Large Integers
IEEE Transactions on Computers
Improved Digital Signature Algorithm
IEEE Transactions on Computers
The Montgomery Inverse and Its Applications
IEEE Transactions on Computers
Division Using a Logarithmic-Exponential Transform to Form a Short Reciprocal
IEEE Transactions on Computers
DCC Linear Congruential Graphs: A New Class of Interconnection Networks
IEEE Transactions on Computers
On Hardware for Computing Exponential and Trigonometric Functions
IEEE Transactions on Computers
Analytic Modeling of Clustered RAID with Mapping Based on Nearly Random Permutation
IEEE Transactions on Computers
IEEE Transactions on Computers
Exponentiation Using Division Chains
IEEE Transactions on Computers
An RNS Montgomery Modular Multiplication Algorithm
IEEE Transactions on Computers
A Deterministic Multivariate Interpolation Algorithm for Small Finite Fields
IEEE Transactions on Computers
Optimizing Sort Order Query Execution in Balanced and Nested Grid Files
IEEE Transactions on Knowledge and Data Engineering
Combinatorial Optimization of Distributed Queries
IEEE Transactions on Knowledge and Data Engineering
IEEE Transactions on Knowledge and Data Engineering
On Recursive, O(N) Partitioning of a Digitized Curve into Digital Straight Segments
IEEE Transactions on Pattern Analysis and Machine Intelligence
The Power Test for Data Dependence
IEEE Transactions on Parallel and Distributed Systems
Journal of Computational and Applied Mathematics
Combined random number generator via the generalized Chinese remainder theorem
Journal of Computational and Applied Mathematics
Stochastic recurrences of Jackpot Keno
Computational Statistics & Data Analysis
Symmetries of decimation invariant sequences and digit sets
Theoretical Computer Science
Public data structures: counters as a special case
Theoretical Computer Science
Rapid multiplication modulo the sum and difference of highly composite numbers
Mathematics of Computation
Constructing hyperelliptic curves of genus 2 suitable for cryptography
Mathematics of Computation
Additive symmetries: the non-negative case
Theoretical Computer Science - Real numbers and computers
A p × p bit fraction model of binary floating point division and extremal rounding cases
Theoretical Computer Science - Real numbers and computers
A new dynamic key generation scheme for access control in a hierarchy
Nordic Journal of Computing
Higher-Order and Symbolic Computation
On Parallel Pseudo-Random Number Generation
ICCS '01 Proceedings of the International Conference on Computational Sciences-Part I
PLFG: A Highly Scalable Parallel Pseudo-random Number Generator for Monte Carlo Simulations
HPCN Europe 2000 Proceedings of the 8th International Conference on High-Performance Computing and Networking
Efficient Monte Carlo Linear Solver with Chain Reduction and Optimization Using PLFG
HPCN Europe 2001 Proceedings of the 9th International Conference on High-Performance Computing and Networking
Disseminating Updates on Broadcast Disks
VLDB '96 Proceedings of the 22th International Conference on Very Large Data Bases
AI '02 Proceedings of the 15th Australian Joint Conference on Artificial Intelligence: Advances in Artificial Intelligence
Using Fewer Qubits in Shor's Factorization Algorithm Via Simultaneous Diophantine Approximation
CT-RSA 2001 Proceedings of the 2001 Conference on Topics in Cryptology: The Cryptographer's Track at RSA
Software Implementation of the NIST Elliptic Curves Over Prime Fields
CT-RSA 2001 Proceedings of the 2001 Conference on Topics in Cryptology: The Cryptographer's Track at RSA
Known Plaintext Correlation Attack against RC5
CT-RSA '02 Proceedings of the The Cryptographer's Track at the RSA Conference on Topics in Cryptology
Real Computations with Fake Numbers
ICAL '99 Proceedings of the 26th International Colloquium on Automata, Languages and Programming
IWANN '01 Proceedings of the 6th International Work-Conference on Artificial and Natural Neural Networks: Connectionist Models of Neurons, Learning Processes and Artificial Intelligence-Part I
An Accurate Evaluation of Maurer's Universal Test
SAC '98 Proceedings of the Selected Areas in Cryptography
Improving and Extending the Lim/Lee Exponentiation Algorithm
SAC '99 Proceedings of the 6th Annual International Workshop on Selected Areas in Cryptography
On the Implementation of Cryptosystems Based on Real Quadratic Number Fields
SAC '00 Proceedings of the 7th Annual International Workshop on Selected Areas in Cryptography
A Library for Parallel Modular Arithmetic
Euro-Par '99 Proceedings of the 5th International Euro-Par Conference on Parallel Processing
Some Parallel Algorithms for Integer Factorisation
Euro-Par '99 Proceedings of the 5th International Euro-Par Conference on Parallel Processing
Recent Progress and Prospects for Integer Factorisation Algorithms
COCOON '00 Proceedings of the 6th Annual International Conference on Computing and Combinatorics
Efficient Non-malleable Commitment Schemes
CRYPTO '00 Proceedings of the 20th Annual International Cryptology Conference on Advances in Cryptology
Speeding up Elliptic Cryptosystems by Using a Signed Binary Window Method
CRYPTO '92 Proceedings of the 12th Annual International Cryptology Conference on Advances in Cryptology
ASIACRYPT '01 Proceedings of the 7th International Conference on the Theory and Application of Cryptology and Information Security: Advances in Cryptology
A Probable Prime Test with Very High Confidence for n equiv 1 mod 4
ASIACRYPT '01 Proceedings of the 7th International Conference on the Theory and Application of Cryptology and Information Security: Advances in Cryptology
FC '00 Proceedings of the 4th International Conference on Financial Cryptography
Exact and Efficient Computation of the Expected Number of Missing and Common Words in Random Texts
COM '00 Proceedings of the 11th Annual Symposium on Combinatorial Pattern Matching
Analysing the Cache Behaviour of Non-uniform Distribution Sorting Algorithms
ESA '00 Proceedings of the 8th Annual European Symposium on Algorithms
The Software-Oriented Stream Cipher SSC2
FSE '00 Proceedings of the 7th International Workshop on Fast Software Encryption
Lenient/Strict Batch Verification in Several Groups
ISC '01 Proceedings of the 4th International Conference on Information Security
Elliptic Curve Arithmetic Using SIMD
ISC '01 Proceedings of the 4th International Conference on Information Security
ISC '02 Proceedings of the 5th International Conference on Information Security
On the Security of Random Sources
PKC '99 Proceedings of the Second International Workshop on Practice and Theory in Public Key Cryptography
The Effectiveness of Lattice Attacks Against Low-Exponent RSA
PKC '99 Proceedings of the Second International Workshop on Practice and Theory in Public Key Cryptography
A New Aspect for Security Notions: Secure Randomness in Public-Key Encryption Schemes
PKC '01 Proceedings of the 4th International Workshop on Practice and Theory in Public Key Cryptography: Public Key Cryptography
PKC '01 Proceedings of the 4th International Workshop on Practice and Theory in Public Key Cryptography: Public Key Cryptography
On Montgomery-Like Representationsfor Elliptic Curves over GF(2k)
PKC '03 Proceedings of the 6th International Workshop on Theory and Practice in Public Key Cryptography: Public Key Cryptography
A New Scheme for Computing with Algebraically Closed Fields
ANTS-V Proceedings of the 5th International Symposium on Algorithmic Number Theory
YACAS: A Do-It-Yourself Symbolic Algebra Environment
AISC '02/Calculemus '02 Proceedings of the Joint International Conferences on Artificial Intelligence, Automated Reasoning, and Symbolic Computation
Software Implementation of Elliptic Curve Cryptography over Binary Fields
CHES '00 Proceedings of the Second International Workshop on Cryptographic Hardware and Embedded Systems
Enhancing Simple Power-Analysis Attacks on Elliptic Curve Cryptosystems
CHES '02 Revised Papers from the 4th International Workshop on Cryptographic Hardware and Embedded Systems
Increasing the Bitlength of a Crypto-Coprocessor
CHES '02 Revised Papers from the 4th International Workshop on Cryptographic Hardware and Embedded Systems
New Algorithm for Classical Modular Inverse
CHES '02 Revised Papers from the 4th International Workshop on Cryptographic Hardware and Embedded Systems
Fault Attacks on RSA with CRT: Concrete Results and Practical Countermeasures
CHES '02 Revised Papers from the 4th International Workshop on Cryptographic Hardware and Embedded Systems
Resource Scheduling in Enhanced Pay-Per-View Continuous Media Databases
VLDB '97 Proceedings of the 23rd International Conference on Very Large Data Bases
Information clustering based on fuzzy multisets
Information Processing and Management: an International Journal - Modelling vagueness and subjectivity in information access
Testing parallel random number generators
Parallel Computing
Journal of Symbolic Computation
An efficient broadcast data clustering method for multipoint queries in wireless information systems
Journal of Systems and Software
Theoretical Computer Science - Latin American theoretical informatics
Dynamical analysis of a class of Euclidean algorithms
Theoretical Computer Science - Latin American theoretical informatics
A one-parameter quadratic-base version of the Baillie-PSW probable prime test
Mathematics of Computation
A Markov chain sequence generator for power macromodeling
Proceedings of the 2002 IEEE/ACM international conference on Computer-aided design
Contiguous relations of hypergeometric series
Journal of Computational and Applied Mathematics - Proceedings of the sixth international symposium on orthogonal polynomials, special functions and their applications
Ternary computers: part 2: emulation of a ternary computer
MICRO 5 Conference record of the 5th annual workshop on Microprogramming
Multiple criteria project selection based on contradictory sorting rules
ISTA '01 Proceedings of the 2001 international conference on Information systems technology and its applications - Volume P-2
Combined generators with components from different families
Mathematics and Computers in Simulation - Special issue: 3rd IMACS seminar on Monte Carlo methods - MCM 2001
Sum-discrepancy test on pseudorandom number generators
Mathematics and Computers in Simulation - Special issue: 3rd IMACS seminar on Monte Carlo methods - MCM 2001
Algorithms for computing the sparsest shifts of polynomials via the Berlekamp/Massey algorithm
Proceedings of the 2002 international symposium on Symbolic and algebraic computation
Design and Implementation of a Coprocessor for Cryptography Applications
EDTC '97 Proceedings of the 1997 European conference on Design and Test
Carry propagation in signed digit representations
European Journal of Combinatorics
Approximate testing with error relative to input size
Journal of Computer and System Sciences
DAC '83 Proceedings of the 20th Design Automation Conference
DAC '84 Proceedings of the 21st Design Automation Conference
Merrily we roll along: Some aspects of?
APL '83 Proceedings of the international conference on APL
Fast hardware random number generator for the Tausworthe sequence
ANSS '83 Proceedings of the 16th annual symposium on Simulation
MP/C: A multiprocessor/computer architecture
ISCA '81 Proceedings of the 8th annual symposium on Computer Architecture
Micro-SIMPAS: A microprocessor based simulation language
ANSS '81 Proceedings of the 14th annual symposium on Simulation
ANSS '81 Proceedings of the 14th annual symposium on Simulation
A portable random number generator with built-in well-spread seeds
WSC '81 Proceedings of the 13th conference on Winter simulation - Volume 2
WSC '79 Proceedings of the 11th conference on Winter simulation - Volume 1
Complexity measures and hierarchies for the evaluation of integers, polynomials, and n-linear forms
STOC '75 Proceedings of seventh annual ACM symposium on Theory of computing
Riemann's Hypothesis and tests for primality
STOC '75 Proceedings of seventh annual ACM symposium on Theory of computing
Microinstruction sequencing and structured microprogramming
MICRO 7 Conference record of the 7th annual workshop on Microprogramming
New algorithms and lower bounds for the parallel evaluation of certain rational expressions
STOC '74 Proceedings of the sixth annual ACM symposium on Theory of computing
On the number of additions to compute specific polynomials (Preliminary Version)
STOC '74 Proceedings of the sixth annual ACM symposium on Theory of computing
Toward mechanical verification of properties of roundoff error propagation
STOC '73 Proceedings of the fifth annual ACM symposium on Theory of computing
STOC '73 Proceedings of the fifth annual ACM symposium on Theory of computing
Addition in signed digit number systems
MVL '78 Proceedings of the eighth international symposium on Multiple-valued logic
Computational complexity of computing polynomials over the fields of real and complex numbers
STOC '78 Proceedings of the tenth annual ACM symposium on Theory of computing
The complexity of finding periods
STOC '79 Proceedings of the eleventh annual ACM symposium on Theory of computing
A simulation course for computer science students
SIGCSE '80 Proceedings of the eleventh SIGCSE technical symposium on Computer science education
The complexity of the equivalence problem for straight-line programs
STOC '80 Proceedings of the twelfth annual ACM symposium on Theory of computing
Heuristics for weighted perfect matching
STOC '80 Proceedings of the twelfth annual ACM symposium on Theory of computing
Efficient dynamic programming using quadrangle inequalities
STOC '80 Proceedings of the twelfth annual ACM symposium on Theory of computing
On the additions necessary to compute certain functions
STOC '72 Proceedings of the fourth annual ACM symposium on Theory of computing
Algorithms for rational function arithmetic operations
STOC '72 Proceedings of the fourth annual ACM symposium on Theory of computing
Some results concerning efficient and optimal algorithms
STOC '71 Proceedings of the third annual ACM symposium on Theory of computing
An efficient sparse minor expansion algorithm
ACM '76 Proceedings of the 1976 annual conference
The fast Fourier transform its role as an algebraic algorithm
ACM '76 Proceedings of the 1976 annual conference
Extended polynomial algorithms
ACM '73 Proceedings of the ACM annual conference
ACM '73 Proceedings of the ACM annual conference
A random number generator for small word-length computers
ACM '73 Proceedings of the ACM annual conference
On conventions for systems of numerical representation
ACM '72 Proceedings of the ACM annual conference - Volume 2
On computing certain integrals, and implications for symbolic algebraic manipulation
ACM '72 Proceedings of the ACM annual conference - Volume 2
The SAC-1 system: An introduction and survey
SYMSAC '71 Proceedings of the second ACM symposium on Symbolic and algebraic manipulation
The ALTRAN system for rational function manipulation - a survey
SYMSAC '71 Proceedings of the second ACM symposium on Symbolic and algebraic manipulation
Modular arithmetic and finite field theory: A tutorial
SYMSAC '71 Proceedings of the second ACM symposium on Symbolic and algebraic manipulation
On Euclid's algorithm and the computation of polynomial greatest common divisors
SYMSAC '71 Proceedings of the second ACM symposium on Symbolic and algebraic manipulation
The calculation of multivariate polynomial resultants
SYMSAC '71 Proceedings of the second ACM symposium on Symbolic and algebraic manipulation
Chinese remainder and interpolation algorithms
SYMSAC '71 Proceedings of the second ACM symposium on Symbolic and algebraic manipulation
Exact solution of linear equations
SYMSAC '71 Proceedings of the second ACM symposium on Symbolic and algebraic manipulation
The exact solution of systems of linear equations with polynomial coefficients
SYMSAC '71 Proceedings of the second ACM symposium on Symbolic and algebraic manipulation
Integer arithmetic algorithms for polynomial real zero determination
SYMSAC '71 Proceedings of the second ACM symposium on Symbolic and algebraic manipulation
Algorithms for partial fraction decomposition and rational function integration
SYMSAC '71 Proceedings of the second ACM symposium on Symbolic and algebraic manipulation
Polynomial real root isolation by differentiation
SYMSAC '76 Proceedings of the third ACM symposium on Symbolic and algebraic computation
On square-free decomposition algorithms
SYMSAC '76 Proceedings of the third ACM symposium on Symbolic and algebraic computation
Arithmetic complexity of unordered sparse polynomials
SYMSAC '76 Proceedings of the third ACM symposium on Symbolic and algebraic computation
Univariate power series expansions in algebraic manipulation
SYMSAC '76 Proceedings of the third ACM symposium on Symbolic and algebraic computation
Interval arithmetic applied to polynomial remainder sequences
SYMSAC '76 Proceedings of the third ACM symposium on Symbolic and algebraic computation
Algebraic algorithms using p-adic constructions
SYMSAC '76 Proceedings of the third ACM symposium on Symbolic and algebraic computation
Newton's method: a great algebraic algorithm
SYMSAC '76 Proceedings of the third ACM symposium on Symbolic and algebraic computation
The aliquot project: an application of job chaining in number theoretic computing
SYMSAC '76 Proceedings of the third ACM symposium on Symbolic and algebraic computation
Simplification of radical expressions
SYMSAC '76 Proceedings of the third ACM symposium on Symbolic and algebraic computation
Newton's iteration and the sparse Hensel algorithm (Extended Abstract)
SYMSAC '81 Proceedings of the fourth ACM symposium on Symbolic and algebraic computation
A case study in interlanguage communication: Fast LISP polynomial operations written in 'C'
SYMSAC '81 Proceedings of the fourth ACM symposium on Symbolic and algebraic computation
A generalized class of polynomials that are hard to factor
SYMSAC '81 Proceedings of the fourth ACM symposium on Symbolic and algebraic computation
A p-adic algorithm for univariate partial fractions
SYMSAC '81 Proceedings of the fourth ACM symposium on Symbolic and algebraic computation
SLIPE '85 Proceedings of the ACM SIGPLAN 85 symposium on Language issues in programming environments
Validation criteria for computer system simulations
ANSS '75 Proceedings of the 3rd symposium on Simulation of computer systems
Run-time characteristics of a simulation model
ANSS '76 Proceedings of the 4th symposium on Simulation of computer systems
Computer drafting of stones, wood, plant and ground materials
SIGGRAPH '79 Proceedings of the 6th annual conference on Computer graphics and interactive techniques
Fortran for the Texas Instruments ASC system
Proceedings of the conference on Programming languages and compilers for parallel and vector machines
A hardware stochastic interpolator for raster displays
SIGGRAPH '84 Proceedings of the 11th annual conference on Computer graphics and interactive techniques
Sums of divisors, perfect numbers, and factoring
STOC '84 Proceedings of the sixteenth annual ACM symposium on Theory of computing
Polynomial factorization and nonrandomness of bits of algebraic and some transcendental numbers
STOC '84 Proceedings of the sixteenth annual ACM symposium on Theory of computing
Evaluating logarithms in GF(2n)
STOC '84 Proceedings of the sixteenth annual ACM symposium on Theory of computing
Comparison of arithmetic functions with respect to boolean circuit depth
STOC '84 Proceedings of the sixteenth annual ACM symposium on Theory of computing
Parallel algorithms for algebraic problems
STOC '83 Proceedings of the fifteenth annual ACM symposium on Theory of computing
New bounds for parallel prefix circuits
STOC '83 Proceedings of the fifteenth annual ACM symposium on Theory of computing
Computer science education in small colleges - a report with recommendations
SIGUCCS '72 Proceedings of the annual ACM SIGUCCS symposium on The administration and management of small-college computing centers
An exhaustive search for optimal multipliers
WSC '84 Proceedings of the 16th conference on Winter simulation
Use of lattice structures for reduction of simulation run time
WSC '84 Proceedings of the 16th conference on Winter simulation
The PUMA project: Computer design automation in the university
ACM '80 Proceedings of the ACM 1980 annual conference
Minimizing the retrieval time of scatter storage techniques
ACM '78 Proceedings of the 1978 annual conference - Volume 2
The emergence of computational arithmetic as a component of the computer science curriculum
SIGCSE '70 Proceedings of the first SIGCSE technical symposium on Education in computer science
A course in effective programming
SIGCSE '75 Proceedings of the fifth SIGCSE technical symposium on Computer science education
The generation of order statistics in digital computer simulation: A survey
WSC '78 Proceedings of the 10th conference on Winter simulation - Volume 1
Simulation methods for Poisson processes in nonstationary systems
WSC '78 Proceedings of the 10th conference on Winter simulation - Volume 1
GPDS - a new simulation language
WSC '71 Proceedings of the 5th conference on Winter simulation
Procedure referencing environments in SL5
POPL '76 Proceedings of the 3rd ACM SIGACT-SIGPLAN symposium on Principles on programming languages
Stonewalls: Experiments in intelligent drafting
DAC '79 Proceedings of the 16th Design Automation Conference
Vector Plots for Irregular Grids
VIS '95 Proceedings of the 6th conference on Visualization '95
Design of An On-Chip Test Pattern Generator Without Prohibited Pattern Set (PPS)
ASP-DAC '02 Proceedings of the 2002 Asia and South Pacific Design Automation Conference
Design and study of a strong crypto-system model for e-Commerce
ICCC '02 Proceedings of the 15th international conference on Computer communication
Breaking the barriers: high performance security for high performance computing
Proceedings of the 2002 workshop on New security paradigms
A "flight data recorder" for enabling full-system multiprocessor deterministic replay
Proceedings of the 30th annual international symposium on Computer architecture
An Adaptive Recommendation System without Explicit Acquisition of User Relevance Feedback
Distributed and Parallel Databases
Relaxed mltiplication using the middle product
ISSAC '03 Proceedings of the 2003 international symposium on Symbolic and algebraic computation
Hardware architectures for public key cryptography
Integration, the VLSI Journal
A Constant Size Rekeying Message Framework for Secure Multicasting
LCN '01 Proceedings of the 26th Annual IEEE Conference on Local Computer Networks
Symbolic mathematical computation in a Ph. D. computer science program
ACM SIGCSE Bulletin
Problems of Information Transmission
Symmetry properties of multiple recursive random number generators in full period and spectral test
Applied Mathematics and Computation
Proceedings of the 2003 conference on APL: stretching the mind
Numerical difficulties in pre-university informatics education and competitions
Informatics in education
Computer algebra handbook
Fast authenticated key establishment protocols for self-organizing sensor networks
WSNA '03 Proceedings of the 2nd ACM international conference on Wireless sensor networks and applications
Neural Networks - 2003 Special issue: Advances in neural networks research IJCNN'03
Automatic Generation of Staged Geometric Predicates
Higher-Order and Symbolic Computation
Heuristics on lattice basis reduction in practice
Journal of Experimental Algorithmics (JEA)
A system of high-dimensional, efficient, long-cycle and portable uniform random number generators
ACM Transactions on Modeling and Computer Simulation (TOMACS)
Efficient multiply-with-carry random number generators with maximal period
ACM Transactions on Modeling and Computer Simulation (TOMACS)
Empirical evidence concerning AES
ACM Transactions on Modeling and Computer Simulation (TOMACS)
Random small hamming weight products with applications to cryptography
Discrete Applied Mathematics - Special issue on the 2000 com2MaC workshop on cryptography
Algorithms for computing sparsest shifts of polynomials in power, Chebyshev, and Pochhammer bases
Journal of Symbolic Computation - Special issue: International symposium on symbolic and algebraic computation (ISSAC 2002)
Establishing pairwise keys in distributed sensor networks
Proceedings of the 10th ACM conference on Computer and communications security
Structured programming, programming teaching and the language Pascal
ACM SIGPLAN Notices
Arithmetic shifting considered harmful
ACM SIGPLAN Notices
Approximate searches: k-neighbors + precision
CIKM '03 Proceedings of the twelfth international conference on Information and knowledge management
A Java API for polynomial arithmetic
PPPJ '03 Proceedings of the 2nd international conference on Principles and practice of programming in Java
A generator of pseudo-random numbers sequences with maximum period
ICCMSE '03 Proceedings of the international conference on Computational methods in sciences and engineering
High-level macro-modeling and estimation techniques for switching activity and power consumption
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
On the Deng-Lin random number generators and related methods
Statistics and Computing
Floating point arithmetic teaching for computational science
Future Generation Computer Systems - Special issue: Selected papers from the workshop on education in computational sciences held at the ICCS 2002
ACM Transactions on Mathematical Software (TOMS)
Combinatorics of periods in strings
Journal of Combinatorial Theory Series A
Cryptography and cryptographic protocols
Distributed Computing - Papers in celebration of the 20th anniversary of PODC
Journal of Functional Programming
Models of Infection: Person to Person
Computing in Science and Engineering
High-Speed, Low-Complexity Systolic Designs of Novel Iterative Division Algorithms in GF(2^m)
IEEE Transactions on Computers
Carry-Save Montgomery Modular Exponentiation on Reconfigurable Hardware
Proceedings of the conference on Design, automation and test in Europe - Volume 3
A Measure for the Non-Orthogonality of a Lattice Basis
Combinatorics, Probability and Computing
An Average-Case Analysis of the Gaussian Algorithm for Lattice Reduction
Combinatorics, Probability and Computing
A modular reduction for GCD computation
Journal of Computational and Applied Mathematics - Special issue: Proceedings of the international conference on linear algebra and arithmetic, Rabat, Morocco, 28-31 May 2001
Is remote host availability governed by a universal law?
ACM SIGMETRICS Performance Evaluation Review
Fast Multiplication and Sparse Structures
Programming and Computing Software
Accelerating the secure remote password protocol using reconfigurable hardware
Proceedings of the 1st conference on Computing frontiers
Tuning the collision test for power
ACSC '04 Proceedings of the 27th Australasian conference on Computer science - Volume 26
Greedy algorithms for optimizing multivariate Horner schemes
ACM SIGSAM Bulletin
Discord model for detecting unexpected demands in mobile networks
Future Generation Computer Systems - Special issue: Modeling and simulation in supercomputing and telecommunications
Approximation algorithms for MAX-3-CUT and other problems via complex semidefinite programming
Journal of Computer and System Sciences - STOC 2001
Minimal Weight Digit Set Conversions
IEEE Transactions on Computers
A Full RNS Implementation of RSA
IEEE Transactions on Computers
How to read floating point numbers accurately
ACM SIGPLAN Notices - Best of PLDI 1979-1999
How to print floating-point numbers accurately
ACM SIGPLAN Notices - Best of PLDI 1979-1999
A first course in computer science for graduate students
SIGCSE '78 Papers of the SIGCSE/CSA technical symposium on Computer science education
ACM SIGBIO Newsletter
Information Processing Letters
Dynamic path-based software watermarking
Proceedings of the ACM SIGPLAN 2004 conference on Programming language design and implementation
Large Systems of Boolean Functions: Realization by Modular Arithmetic Methods
Automation and Remote Control
Digital straightness: a review
Discrete Applied Mathematics - The 2001 international workshop on combinatorial image analysis (IWCIA 2001)
Maximal quotient rational reconstruction: an almost optimal algorithm for rational reconstruction
ISSAC '04 Proceedings of the 2004 international symposium on Symbolic and algebraic computation
Automatic Generation of Polynomial Loop Invariants: Algebraic Foundations
ISSAC '04 Proceedings of the 2004 international symposium on Symbolic and algebraic computation
Algorithms for polynomial GCD computation over algebraic function fields
ISSAC '04 Proceedings of the 2004 international symposium on Symbolic and algebraic computation
Pseudorandom number generation based on controllable cellular automata
Future Generation Computer Systems - Special issue: Advanced services for clusters and internet computing
A basic characteristic of twin primes and its generalization
Smarandache Notions Journal
Evaluating a polynomial and its reverse
ACM SIGACT News
Analysis is the key to better software design
ACM SIGSOFT Software Engineering Notes
Line drawing, leap years, and Euclid
ACM Computing Surveys (CSUR)
Distribution results for low-weight binary representations for pairs of integers
Theoretical Computer Science - Combinatorics of the discrete plane and tilings
ACM Transactions on Embedded Computing Systems (TECS)
Security on FPGAs: State-of-the-art implementations and attacks
ACM Transactions on Embedded Computing Systems (TECS)
Cellular automata computations and secret key cryptography
Parallel Computing - Special issue: Parallel and nature-inspired computational paradigms and applications
Self-stabilizing clock synchronization in the presence of Byzantine faults
Journal of the ACM (JACM)
FPGA-Based Implementation of a Serial RSA Processor
DATE '03 Proceedings of the conference on Design, Automation and Test in Europe - Volume 1
A workload characterization of elliptic curve cryptography methods in embedded environments
MEDEA '03 Proceedings of the 2003 workshop on MEmory performance: DEaling with Applications , systems and architecture
Boltzmann Samplers for the Random Generation of Combinatorial Structures
Combinatorics, Probability and Computing
Towards a VLSI Architecture for Interpolation-Based Soft-Decision Reed-Solomon Decoders
Journal of VLSI Signal Processing Systems
Expected time analysis for Delaunay point location
Computational Geometry: Theory and Applications
Fast index filtering in vector approximation file
Fundamenta Informaticae
Simulating the Webgraph: A Comparative Analysis of Models
Computing in Science and Engineering
A Hardware Algorithm for Modular Multiplication/Division
IEEE Transactions on Computers
A Gaussian Noise Generator for Hardware-Based Simulations
IEEE Transactions on Computers
Index-Based Persistent Document Identifiers
Information Retrieval
Five, Six, and Seven-Term Karatsuba-Like Formulae
IEEE Transactions on Computers
Efficient and portable multiple recursive generators of large order
ACM Transactions on Modeling and Computer Simulation (TOMACS)
Authenticated key agreement in dynamic peer groups
Theoretical Computer Science
Security evaluation of email encryption using random noise generated by LCG
Journal of Computing Sciences in Colleges
A Novel Unified Architecture for Public-Key Cryptography
Proceedings of the conference on Design, Automation and Test in Europe - Volume 3
A tamper resistant hardware accelerator for RSA cryptographic applications
Journal of Systems Architecture: the EUROMICRO Journal
Parallel linear congruential generators with Sophie-Germain moduli
Parallel Computing
A parametric error analysis of Goldschmidt's division algorithm
Journal of Computer and System Sciences
Approximately uniform random sampling in sensor networks
DMSN '04 Proceeedings of the 1st international workshop on Data management for sensor networks: in conjunction with VLDB 2004
Establishing pairwise keys in distributed sensor networks
ACM Transactions on Information and System Security (TISSEC)
Principles and preferences for computer arithmetic
ACM SIGNUM Newsletter
Efficiency test of pseudorandom number generators using random walks
Journal of Computational and Applied Mathematics
s-power series: an alternative to Poisson expansions for representing analytic functions
Computer Aided Geometric Design
Factoring into coprimes in essentially linear time
Journal of Algorithms
Cycles in random k-ary maps and the poor performance of random number generation
Journal of Algorithms
History of programming languages---II
Single-valued conversion of decimal numbers to rational fractions
ACM SIGNUM Newsletter
Interval arithmetic implementations: using floating point arithmetic
ACM SIGNUM Newsletter
A modular integer GCD algorithm
Journal of Algorithms
On joining and caching stochastic streams
Proceedings of the 2005 ACM SIGMOD international conference on Management of data
Relational confidence bounds are easy with the bootstrap
Proceedings of the 2005 ACM SIGMOD international conference on Management of data
IEEE Transactions on Computers
Periodicity Detection in Time Series Databases
IEEE Transactions on Knowledge and Data Engineering
Subtraction-free almost montgomery inverse algorithm
Information Processing Letters
Modified noise for evaluation on graphics hardware
Proceedings of the ACM SIGGRAPH/EUROGRAPHICS conference on Graphics hardware
ACM SIGGRAPH 2005 Papers
Encyclopedia of Computer Science
Encyclopedia of Computer Science
Encyclopedia of Computer Science
Encyclopedia of Computer Science
Encyclopedia of Computer Science
An Optimal Fault-Tolerant Routing Algorithm for Weighted Bidirectional Double-Loop Networks
IEEE Transactions on Parallel and Distributed Systems
The Ternary Calculating Machine of Thomas Fowler
IEEE Annals of the History of Computing
On algorithms for solving systems of polynomial equations
ACM SIGSAM Bulletin
Factoring multivariate polynomials over the integers
ACM SIGSAM Bulletin
ACM SIGSAM Bulletin
A p-adic division with remainder algorithm
ACM SIGSAM Bulletin
ACM SIGSAM Bulletin
Course outline: Yale University, New Haven
ACM SIGSAM Bulletin
Computer symbolic math & education: a radical proposal
ACM SIGSAM Bulletin
ACM SIGSAM Bulletin
Factorization of univariate polynomials: a statistical study
ACM SIGSAM Bulletin
LISP-based "big-float" system is not slow
ACM SIGSAM Bulletin
P-adic reconstruction of rational numbers
ACM SIGSAM Bulletin
ACM SIGSAM Bulletin
SYPAC: a pascal based computer algebra system for micro-computers
ACM SIGSAM Bulletin
Introducing computer algebra to users and to students
ACM SIGSAM Bulletin
A radical proposal for computer algebra in education
ACM SIGSAM Bulletin
Representing matrices as quadtrees for parallel processors: extended abstract
ACM SIGSAM Bulletin
An experiment in high-precision arithmetic on shared memory multiprocessors
ACM SIGSAM Bulletin
IEEE Transactions on Software Engineering
Problem #11: generation of Runge-Kutta equations
ACM SIGSAM Bulletin
Symbolic mathematical computation: a survey
ACM SIGSAM Bulletin
Optimal multiplication chains for computing a power of a symbolic polynomial
ACM SIGSAM Bulletin
An improved non-modular polynomial GCD algorithm
ACM SIGSAM Bulletin
Symbolic mathematical computation in a Ph.D. Computer Science program
ACM SIGSAM Bulletin
A Carry-Free Architecture for Montgomery Inversion
IEEE Transactions on Computers
IEEE Transactions on Computers
Control flow based obfuscation
Proceedings of the 5th ACM workshop on Digital rights management
Uniform random number generator by shift register method
ACM SIGSIM Simulation Digest
Event: scheduling simulation program modules
ACM SIGSIM Simulation Digest
Geometric distribution generator
ACM SIGSIM Simulation Digest
A rejoinder to "Randomness is Nonsense"
ACM SIGSIM Simulation Digest
Exact distribution of individual displacements in linear probing hashing
ACM Transactions on Algorithms (TALG)
Analysis of Fractional Window Recoding Methods and Their Application to Elliptic Curve Cryptosystems
IEEE Transactions on Computers
Polynomial evaluation and interpolation on special sets of points
Journal of Complexity - Festschrift for the 70th birthday of Arnold Schönhage
The shifted number system for fast linear algebra on integer matrices
Journal of Complexity - Festschrift for the 70th birthday of Arnold Schönhage
Using program specialization to speed SystemC fixed-point simulation
Proceedings of the 2006 ACM SIGPLAN symposium on Partial evaluation and semantics-based program manipulation
Factoring and eliminating common subexpressions in polynomial expressions
Proceedings of the 2004 IEEE/ACM International conference on Computer-aided design
On the xorshift random number generators
ACM Transactions on Modeling and Computer Simulation (TOMACS)
Scheduling unit length jobs with parallel nested machine processing set restrictions
Computers and Operations Research
Sparse Representation for Coarse and Fine Object Recognition
IEEE Transactions on Pattern Analysis and Machine Intelligence
FFT-based algorithms for the string matching with mismatches problem
Journal of Algorithms
Antipredictable Sequences: Harder to Predict Than Random Sequences
Neural Computation
Architectural implications of quantum computing technologies
ACM Journal on Emerging Technologies in Computing Systems (JETC)
A digit serial algorithm for the integer power operation
GLSVLSI '06 Proceedings of the 16th ACM Great Lakes symposium on VLSI
Improved long-period generators based on linear recurrences modulo 2
ACM Transactions on Mathematical Software (TOMS)
There is no efficient reverse derivation mode for discrete derivatives
Theoretical Computer Science
Design, Architecture and Performance Evaluation of the Wireless Transport Layer Security
The Journal of Supercomputing
Automatic instruction scheduler retargeting by reverse-engineering
Proceedings of the 2006 ACM SIGPLAN conference on Programming language design and implementation
Distributed Arithmetic on a Quantum Multicomputer
Proceedings of the 33rd annual international symposium on Computer Architecture
Weighted random sampling with a reservoir
Information Processing Letters
On the Probabilistic Foundations of Probabilistic Roadmap Planning
International Journal of Robotics Research
Confidence intervals for priority sampling
SIGMETRICS '06/Performance '06 Proceedings of the joint international conference on Measurement and modeling of computer systems
Assisted verification of elementary functions using Gappa
Proceedings of the 2006 ACM symposium on Applied computing
Improving the compensated Horner scheme with a fused multiply and add
Proceedings of the 2006 ACM symposium on Applied computing
Sequential reservoir sampling with a nonuniform distribution
ACM Transactions on Mathematical Software (TOMS)
Semantics of roundoff error propagation in finite precision calculations
Higher-Order and Symbolic Computation
Proceedings of the 1st international workshop on Random testing
Implementation techniques for fast polynomial arithmetic in a high-level programming environment
Proceedings of the 2006 international symposium on Symbolic and algebraic computation
Journal of Computational and Applied Mathematics
Exact, efficient, and complete arrangement computation for cubic curves
Computational Geometry: Theory and Applications
IEEE Transactions on Computers
Improvement to Montgomery Modular Inverse Algorithm
IEEE Transactions on Computers
Achieving subspace or key security for WOA using natural or circular watermarking
MM&Sec '06 Proceedings of the 8th workshop on Multimedia and security
Fast random number generators based on linear recurrences modulo 2: overview and comparison
WSC '05 Proceedings of the 37th conference on Winter simulation
A test of randomness based on the distance between consecutive random number pairs
WSC '05 Proceedings of the 37th conference on Winter simulation
Journal of Systems and Software
On the coefficients that arise from Laplace's method
Journal of Computational and Applied Mathematics
A dip in the reservoir: maintaining sample synopses of evolving datasets
VLDB '06 Proceedings of the 32nd international conference on Very large data bases
Generalised multisets for chemical programming
Mathematical Structures in Computer Science
Specification and analysis of the AER/NCA active network protocol suite in Real-Time Maude
Formal Methods in System Design
Implementation of residue number systems on GPUs
ACM SIGGRAPH 2006 Research posters
The Web as a graph: How far we are
ACM Transactions on Internet Technology (TOIT)
Low-Weight Polynomial Form Integers for Efficient Modular Multiplication
IEEE Transactions on Computers
Fast Multicomputation with Asynchronous Strategy
IEEE Transactions on Computers
Micro programming rational arithmetic operations
ACM SIGMICRO Newsletter
Proceedings of the 38th conference on Winter simulation
Generating good pseudo-random numbers
Computational Statistics & Data Analysis
LTI approximation of nonlinear systems via signal distribution theory
Automatica (Journal of IFAC)
The origins of combinatorics on words
European Journal of Combinatorics
Existence of a limiting distribution for the binary GCD algorithm
Journal of Discrete Algorithms
Exploring confusion in product ciphers through regression analysis
Information Sciences: an International Journal
Efficient pipelining for modular multiplication architectures in prime fields
Proceedings of the 17th ACM Great Lakes symposium on VLSI
Kleptographic attacks on a cascade of mix servers
ASIACCS '07 Proceedings of the 2nd ACM symposium on Information, computer and communications security
Constructive analysis, types and exact real numbers
Mathematical Structures in Computer Science
A method of obtaining verified solutions for linear systems suited for Java
Journal of Computational and Applied Mathematics - Special issue: Scientific computing, computer arithmetic, and validated numerics (SCAN 2004)
On Generating All Binary Trees
Fundamenta Informaticae - New Frontiers in Scientific Discovery - Commemorating the Life and Work of Zdzislaw Pawlak
Multiplierless multiple constant multiplication
ACM Transactions on Algorithms (TALG)
LFSR multipliers over GF(2m) defined by all-one polynomial
Integration, the VLSI Journal
Parallel Randomized State-Space Search
ICSE '07 Proceedings of the 29th international conference on Software Engineering
Proceedings of the thirty-ninth annual ACM symposium on Theory of computing
Monte Carlo Minimization and Counting: One, Two, ..., Too Many
Computing in Science and Engineering
Enclaves: enabling secure collaboration over the internet
SSYM'96 Proceedings of the 6th conference on USENIX Security Symposium, Focusing on Applications of Cryptography - Volume 6
TestU01: A C library for empirical testing of random number generators
ACM Transactions on Mathematical Software (TOMS)
A stochastic boundary forcing for dissipative particle dynamics
Journal of Computational Physics
Common defects in initialization of pseudorandom number generators
ACM Transactions on Modeling and Computer Simulation (TOMACS)
Integer and polynomial multiplication: towards optimal toom-cook matrices
Proceedings of the 2007 international symposium on Symbolic and algebraic computation
Pseudorandom number generation on the GPU
GH '06 Proceedings of the 21st ACM SIGGRAPH/EUROGRAPHICS symposium on Graphics hardware
Form and content in computer science
ACM Turing award lectures
Gaussian processes and neuronal modeling
Natural Computing: an international journal
Applications of fast truncated multiplication in cryptography
EURASIP Journal on Embedded Systems
Pseudorandom recursions: small and fast pseudorandom number generators for embedded applications
EURASIP Journal on Embedded Systems
Modular inverse algorithms without multiplications for cryptographic applications
EURASIP Journal on Embedded Systems
A five-year study of file-system metadata
ACM Transactions on Storage (TOS)
Ranked reservoir sampling: an extension to the reservoir sampling algorithm
Software—Practice & Experience
Vector representations for efficient comparison and search for similar strings
Cybernetics and Systems Analysis
Algebraic Methods for Optimizing Constant Multiplications in Linear Systems
Journal of VLSI Signal Processing Systems
Access control in user hierarchy based on elliptic curve cryptosystem
Information Sciences: an International Journal
On Τ-adic representations of integers
Designs, Codes and Cryptography
Priority sampling for estimation of arbitrary subset sums
Journal of the ACM (JACM)
Arithmetic on a distributed-memory quantum multicomputer
ACM Journal on Emerging Technologies in Computing Systems (JETC)
The high-conductance state of cortical networks
Neural Computation
Automatic feature extraction for autonomous general game playing agents
Proceedings of the 6th international joint conference on Autonomous agents and multiagent systems
Stochastic Ceteris Paribus Simulations
Computational Economics
A hardware framework for the fast generation of multiple long-period random number streams
Proceedings of the 16th international ACM/SIGDA symposium on Field programmable gate arrays
Efficient sampling of random permutations
Journal of Discrete Algorithms
Multiclass G/M/1 queueing system with self-similar input and non-preemptive priority
Computer Communications
Authenticating ad hoc networks by comparison of short digests
Information and Computation
Fundamentals of simulation modeling
Proceedings of the 39th conference on Winter simulation: 40 years! The best is yet to come
Efficient and portable 32-bit random variate generators (1986)
Proceedings of the 39th conference on Winter simulation: 40 years! The best is yet to come
A solution to the diagonal sums on spiral matrices
ACM SIGAPL APL Quote Quad
Hash chains with diminishing ranges for sensors
International Journal of High Performance Computing and Networking
A multi-dimensional Interval Reduction test
International Journal of High Performance Computing and Networking
Two Dimensional Aggregation Procedure: An Alternative to the Matrix Algebraic Algorithm
Computational Economics
The additive congruential random number generator-A special case of a multiple recursive generator
Journal of Computational and Applied Mathematics
Network properties of folksonomies
AI Communications - Network Analysis in Natural Sciences and Engineering
Software engineering for multicore systems: an experience report
Proceedings of the 1st international workshop on Multicore software engineering
TinyECC: A Configurable Library for Elliptic Curve Cryptography in Wireless Sensor Networks
IPSN '08 Proceedings of the 7th international conference on Information processing in sensor networks
Hardware-software system for acceleration of image processing operations
Machine Graphics & Vision International Journal
A parallel algorithm for accurate dot product
Parallel Computing
On the efficiency of Pollard's rho method for discrete logarithms
CATS '08 Proceedings of the fourteenth symposium on Computing: the Australasian theory - Volume 77
Asymmetric variate generation via a parameterless dual neural learning algorithm
Computational Intelligence and Neuroscience - Processing of Brain Signals by Using Hemodynamic and Neuroelectromagnetic Modalities
Sums of squares based approximation algorithms for MAX-SAT
Discrete Applied Mathematics
Accurate simple zeros of polynomials in floating point arithmetic
Computers & Mathematics with Applications
Enhanced montgomery multiplication on DSP architectures for embedded public-key cryptosystems
EURASIP Journal on Embedded Systems - Embedded System Design in Intelligent Industrial Automation
Searching for resource-efficient programs: low-power pseudorandom number generators
Proceedings of the 10th annual conference on Genetic and evolutionary computation
International Journal of Parallel, Emergent and Distributed Systems
Fast exponentiation based on common-multiplicand-multiplication and minimal-signed-digit techniques
International Journal of Computer Mathematics
Occurrence indices of elements in linear recurrence sequences over primary residue rings
Problems of Information Transmission
New trends in analytical and numerical computation
MCBC'08 Proceedings of the 9th WSEAS International Conference on Mathematics & Computers In Biology & Chemistry
Protocols for purpose-restricted anonymous communications in IP-based wireless networks
Computer Communications
A Note on Rewriting Proofs and Fibonacci Numbers
LFCS '07 Proceedings of the international symposium on Logical Foundations of Computer Science
Effects of Optimizations for Software Implementations of Small Binary Field Arithmetic
WAIFI '07 Proceedings of the 1st international workshop on Arithmetic of Finite Fields
How to Maximize the Potential of FPGA Resources for Modular Exponentiation
CHES '07 Proceedings of the 9th international workshop on Cryptographic Hardware and Embedded Systems
Fast Point Decompression for Standard Elliptic Curves
EuroPKI '08 Proceedings of the 5th European PKI workshop on Public Key Infrastructure: Theory and Practice
ICCSA '08 Proceedings of the international conference on Computational Science and Its Applications, Part II
New Techniques for Cryptanalysis of Hash Functions and Improved Attacks on Snefru
Fast Software Encryption
Smallest Formulas for Parity of 2k Variables Are Essentially Unique
COCOON '08 Proceedings of the 14th annual international conference on Computing and Combinatorics
Aligator: A Mathematica Package for Invariant Generation (System Description)
IJCAR '08 Proceedings of the 4th international joint conference on Automated Reasoning
Comparison of Point Sets and Sequences for Quasi-Monte Carlo and for Random Number Generation
SETA '08 Proceedings of the 5th international conference on Sequences and Their Applications
LEARNING RANDOM NUMBERS: A MATLAB ANOMALY
Applied Artificial Intelligence
Real algebraic numbers and polynomial systems of small degree
Theoretical Computer Science
A compact and accurate Gaussian variate generator
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Security co-existence of wireless sensor networks and RFID for pervasive computing
Computer Communications
Inversive pseudorandom numbers over Galois rings
European Journal of Combinatorics
Establishing lower bounds on algorithms: a survey
AFIPS '72 (Spring) Proceedings of the May 16-18, 1972, spring joint computer conference
Theory of computing in computer science education
AFIPS '72 (Spring) Proceedings of the May 16-18, 1972, spring joint computer conference
Automatic design of optimal logic circuits based on ternary quantum-dot cellular automata
WSEAS Transactions on Circuits and Systems
Speeding Up the Pollard Rho Method on Prime Fields
ASIACRYPT '08 Proceedings of the 14th International Conference on the Theory and Application of Cryptology and Information Security: Advances in Cryptology
Theoretical Computer Science
Stream sampling for variance-optimal estimation of subset sums
SODA '09 Proceedings of the twentieth Annual ACM-SIAM Symposium on Discrete Algorithms
Average-case analysis of some plurality algorithms
ACM Transactions on Algorithms (TALG)
Cryptography using modular software elements
AFIPS '76 Proceedings of the June 7-10, 1976, national computer conference and exposition
Very fast computation of polynomial remainder sequence coefficient signs
AFIPS '76 Proceedings of the June 7-10, 1976, national computer conference and exposition
The Problem With the Linpack Benchmark 1.0 Matrix Generator
International Journal of High Performance Computing Applications
On efficient Monte Carlo-based statistical static timing analysis of digital circuits
Proceedings of the 2008 IEEE/ACM International Conference on Computer-Aided Design
On the application of pairing based cryptography to wireless sensor networks
Proceedings of the second ACM conference on Wireless network security
A new modular exponentiation architecture for efficient design of RSA cryptosystem
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
An efficient hash-based searching for specimens in the museum's exhibit
Proceedings of the 3rd International Conference on Ubiquitous Information Management and Communication
A plug-in-based architecture for random number generation in simulation systems
Proceedings of the 40th Conference on Winter Simulation
Unbalanced digit sets and the closest choice strategy for minimal weight integer representations
Designs, Codes and Cryptography
A Hardware Analysis of Twisted Edwards Curves for an Elliptic Curve Cryptosystem
ARC '09 Proceedings of the 5th International Workshop on Reconfigurable Computing: Architectures, Tools and Applications
Procedural noise using sparse Gabor convolution
ACM SIGGRAPH 2009 papers
Subset-Restricted Random Walks for Pollard rho Method on ${\mathbf{F}_{p^m}}$
Irvine Proceedings of the 12th International Conference on Practice and Theory in Public Key Cryptography: PKC '09
On Formal Verification of Arithmetic-Based Cryptographic Primitives
Information Security and Cryptology --- ICISC 2008
Quantum Random Bit Generator Service for Monte Carlo and Other Stochastic Simulations
Large-Scale Scientific Computing
A Parallel Algorithm for Multiple-Precision Division by a Single-Precision Integer
Large-Scale Scientific Computing
Error-Free Transformation in Rounding Mode toward Zero
Numerical Validation in Current Hardware Architectures
A Note on Solving Problem 7 of the SIAM 100-Digit Challenge Using C-XSC
Numerical Validation in Current Hardware Architectures
Tracing interrupts in embedded software
Proceedings of the 2009 ACM SIGPLAN/SIGBED conference on Languages, compilers, and tools for embedded systems
Simulation bounds for equivalence verification of polynomial datapaths using finite ring algebra
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Attacks on privacy and deFinetti's theorem
Proceedings of the 2009 ACM SIGMOD International Conference on Management of data
A fast high quality pseudo random number generator for nVidia CUDA
Proceedings of the 11th Annual Conference Companion on Genetic and Evolutionary Computation Conference: Late Breaking Papers
Efficient Acceleration of Asymmetric Cryptography on Graphics Hardware
AFRICACRYPT '09 Proceedings of the 2nd International Conference on Cryptology in Africa: Progress in Cryptology
Testing Stream Ciphers by Finding the Longest Substring of a Given Density
ACISP '09 Proceedings of the 14th Australasian Conference on Information Security and Privacy
Reducing the Complexity in the Distributed Computation of Private RSA Keys
ACISP '09 Proceedings of the 14th Australasian Conference on Information Security and Privacy
A Groupoid of Isomorphic Data Transformations
Calculemus '09/MKM '09 Proceedings of the 16th Symposium, 8th International Conference. Held as Part of CICM '09 on Intelligent Computer Mathematics
Wavelet-based copyright-protection scheme for digital images based on local features
Information Sciences: an International Journal
An embedded declarative data transformation language
PPDP '09 Proceedings of the 11th ACM SIGPLAN conference on Principles and practice of declarative programming
Sensor ranking: A primitive for efficient content-based sensor search
IPSN '09 Proceedings of the 2009 International Conference on Information Processing in Sensor Networks
Random Number Selection in Self-assembly
UC '09 Proceedings of the 8th International Conference on Unconventional Computation
A New Approach to Χ2 Cryptanalysis of Block Ciphers
ISC '09 Proceedings of the 12th International Conference on Information Security
ICA3PP '09 Proceedings of the 9th International Conference on Algorithms and Architectures for Parallel Processing
IJCAI'81 Proceedings of the 7th international joint conference on Artificial intelligence - Volume 2
Composition in hierarchical CLP
IJCAI'95 Proceedings of the 14th international joint conference on Artificial intelligence - Volume 1
Pushing the limits of one-time signatures
Proceedings of the 2nd international conference on Security of information and networks
Obtainable sizes of topologies on finite sets
Journal of Combinatorial Theory Series A
Unfolding speaker clustering potential: a biomimetic approach
MM '09 Proceedings of the 17th ACM international conference on Multimedia
ACM Transactions on Algorithms (TALG)
Exact, efficient, and complete arrangement computation for cubic curves
Computational Geometry: Theory and Applications
A lightweight secure protocol for wireless sensor networks
Computer Communications
On the implementation of the discrete Fourier transform in the encrypted domain
IEEE Transactions on Information Forensics and Security
A generalization of a fast RNS conversion for a new 4-modulus base
IEEE Transactions on Circuits and Systems II: Express Briefs
Software engineering and scale-free networks
IEEE Transactions on Systems, Man, and Cybernetics, Part B: Cybernetics
A new algorithm for high-speed modular multiplication design
IEEE Transactions on Circuits and Systems Part I: Regular Papers
Random number generators in secure disk drives
EURASIP Journal on Embedded Systems
Algorithmic information theory
IBM Journal of Research and Development
Digital filtering using complex Mersenne transforms
IBM Journal of Research and Development
Complex convolutions via Fermat number transforms
IBM Journal of Research and Development
Optimum storage allocation for a file with open addressing
IBM Journal of Research and Development
IBM contributions to computer performance modeling
IBM Journal of Research and Development
Fast pseudorandom-number generators with modulus 2k or 2k-1 using fused multiply-add
IBM Journal of Research and Development
Fundamenta Informaticae - Swarm Intelligence
Composable, scalable, and accurate weight summarization of unaggregated data sets
Proceedings of the VLDB Endowment
Coordinated weighted sampling for estimating aggregates over multiple weight assignments
Proceedings of the VLDB Endowment
CEC'09 Proceedings of the Eleventh conference on Congress on Evolutionary Computation
A high-quality pseudorandom numbers generator based on twi-layer couple cellular automata
CEC'09 Proceedings of the Eleventh conference on Congress on Evolutionary Computation
Finding Minimal Addition Chains with a Particle Swarm Optimization Algorithm
MICAI '09 Proceedings of the 8th Mexican International Conference on Artificial Intelligence
INDOCRYPT '09 Proceedings of the 10th International Conference on Cryptology in India: Progress in Cryptology
EM Side-Channel Attacks on Commercial Contactless Smartcards Using Low-Cost Equipment
Information Security Applications
Generic and updatable XML value indices covering equality and range lookups
Proceedings of the 2009 EDBT/ICDT Workshops
Improved interference diversity in multicellular OFDMA systems
COMSNETS'09 Proceedings of the First international conference on COMmunication Systems And NETworks
Lazy Algorithms for Exact Real Arithmetic
Electronic Notes in Theoretical Computer Science (ENTCS)
Hyperbolic Julia Sets are Poly-Time Computable
Electronic Notes in Theoretical Computer Science (ENTCS)
What every agent-based modeller should know about floating point arithmetic
Environmental Modelling & Software
Problem frames and software engineering
Information and Software Technology
Polynomial evaluation and interpolation on special sets of points
Journal of Complexity - Festschrift for the 70th birthday of Arnold Schönhage
A parallel search for good lattice points using LLL-spectral tests
Journal of Computational and Applied Mathematics
Parallel lookahead algorithms for pruned interleavers
IEEE Transactions on Communications
Efficient and formally proven reduction of large integers by small moduli
ACM Transactions on Mathematical Software (TOMS)
The use of error detection and compensation techniques for testing the integer class cBigNumber
Programming and Computing Software
Speeding up Euclid's GCD algorithm with no magnitude comparisons
International Journal of Information and Computer Security
Iris biometric authentication based on local global graphs: an FPGA implementation
CISDA'09 Proceedings of the Second IEEE international conference on Computational intelligence for security and defense applications
International Journal of Parallel Programming - Special issue on the 19th international symposium on computer architecture and high performance computing (SBAC-PAD 2007)
Digital watermarking of polygonal meshes with linear operators of scale functions
Computer-Aided Design
The efficient calculation of powers of polynomials
Journal of Computer and System Sciences
Computation of powers of multivariate polynomialsover the integers
Journal of Computer and System Sciences
Choose the damping, choose the ranking?
Journal of Discrete Algorithms
Monte Carlo linear solvers with non-diagonal splitting
Mathematics and Computers in Simulation
Block cipher using 1D and 2D chaotic maps
International Journal of Information and Communication Technology
On diffie-hellman key agreement with short exponents
EUROCRYPT'96 Proceedings of the 15th annual international conference on Theory and application of cryptographic techniques
Fast arithmetic architectures for public-key algorithms over Galois fields GF((2n)m)
EUROCRYPT'97 Proceedings of the 16th annual international conference on Theory and application of cryptographic techniques
Suffix trees and string complexity
EUROCRYPT'92 Proceedings of the 11th annual international conference on Theory and application of cryptographic techniques
Resource requirements for the application of addition chains in modulo exponentiation
EUROCRYPT'92 Proceedings of the 11th annual international conference on Theory and application of cryptographic techniques
Fast exponentiation with precomputation
EUROCRYPT'92 Proceedings of the 11th annual international conference on Theory and application of cryptographic techniques
An analysis of exponentiation based on formal languages
EUROCRYPT'99 Proceedings of the 17th international conference on Theory and application of cryptographic techniques
Message authentication on 64-bit architectures
SAC'06 Proceedings of the 13th international conference on Selected areas in cryptography
Cellular programming and symmetric key cryptography systems
GECCO'03 Proceedings of the 2003 international conference on Genetic and evolutionary computation: PartII
Random number generators with period divisible by a Mersenne prime
ICCSA'03 Proceedings of the 2003 international conference on Computational science and its applications: PartI
A compact and fast division architecture for a finite field GF(2m)
ICCSA'03 Proceedings of the 2003 international conference on Computational science and its applications: PartI
Time-space efficient exponentiation over GF(2m)
ICCSA'03 Proceedings of the 2003 international conference on Computational science and its applications: PartI
Efficient architecture for exponentiation and division in GF(2m) using irreducible AOP
ICCSA'03 Proceedings of the 2003 international conference on Computational science and its applications: PartI
Computational algorithm and architecture for AB2 multiplication in finite fields
ICCSA'03 Proceedings of the 2003 international conference on Computational science and its applications: PartI
On a characterization of fuzzy bags
IFSA'03 Proceedings of the 10th international fuzzy systems association World Congress conference on Fuzzy sets and systems
Monotonic AC-compatible semantic path orderings
RTA'03 Proceedings of the 14th international conference on Rewriting techniques and applications
Approximating rational numbers by fractions
FUN'07 Proceedings of the 4th international conference on Fun with algorithms
Sorting the slow way: an analysis of perversely awful randomized sorting algorithms
FUN'07 Proceedings of the 4th international conference on Fun with algorithms
Fast exponentiaion over GF(2m) based on cellular automata
ICCS'03 Proceedings of the 2003 international conference on Computational science: PartII
Improved Monte Carlo linear solvers through non-diagonal splitting
ICCSA'03 Proceedings of the 2003 international conference on Computational science and its applications: PartIII
Counting polyominoes: a parallel implementation for cluster computing
ICCS'03 Proceedings of the 2003 international conference on Computational science: PartIII
Efficient use of random delays in embedded software
WISTP'07 Proceedings of the 1st IFIP TC6 /WG8.8 /WG11.2 international conference on Information security theory and practices: smart cards, mobile and ubiquitous computing systems
Quasi-random walks on balls using C.U.D. sequences
NMA'06 Proceedings of the 6th international conference on Numerical methods and applications
Cryptographic primitives enforcing communication and storage complexity
FC'02 Proceedings of the 6th international conference on Financial cryptography
An improved fast signature scheme without online multiplication
FC'02 Proceedings of the 6th international conference on Financial cryptography
Almost optimal private information retrieval
PET'02 Proceedings of the 2nd international conference on Privacy enhancing technologies
Improved techniques for fast exponentiation
ICISC'02 Proceedings of the 5th international conference on Information security and cryptology
GCD of many integers (extended abstract)
COCOON'99 Proceedings of the 5th annual international conference on Computing and combinatorics
An approach to teaching computer arithmetic
VECPAR'02 Proceedings of the 5th international conference on High performance computing for computational science
Two efficient and provably secure schemes for server-assisted threshold signatures
CT-RSA'03 Proceedings of the 2003 RSA conference on The cryptographers' track
Simple backdoors for RSA key generation
CT-RSA'03 Proceedings of the 2003 RSA conference on The cryptographers' track
Links between the personalities, views and attitudes of software engineers
Information and Software Technology
Performance bounds for nonbinary linear block codes over memoryless symmetric channels
IEEE Transactions on Information Theory
Formal modeling and analysis of the OGDC wireless sensor network algorithm in real-time maude
FMOODS'07 Proceedings of the 9th IFIP WG 6.1 international conference on Formal methods for open object-based distributed systems
Fast NML computation for Naive Bayes models
DS'07 Proceedings of the 10th international conference on Discovery science
Algorithms for propositional model counting
LPAR'07 Proceedings of the 14th international conference on Logic for programming, artificial intelligence and reasoning
A DWT blind image watermarking strategy with secret sharing
PCM'07 Proceedings of the multimedia 8th Pacific Rim conference on Advances in multimedia information processing
Compiler assisted elliptic curve cryptography
OTM'07 Proceedings of the 2007 OTM confederated international conference on On the move to meaningful internet systems: CoopIS, DOA, ODBASE, GADA, and IS - Volume Part II
Explicit formulas for efficient multiplication in F36m
SAC'07 Proceedings of the 14th international conference on Selected areas in cryptography
A fast stream cipher with huge state space and quasigroup filter for software
SAC'07 Proceedings of the 14th international conference on Selected areas in cryptography
Longer randomly blinded RSA keys may be weaker than shorter ones
WISA'07 Proceedings of the 8th international conference on Information security applications
Note: Smallest formulas for the parity of 2 k variables are essentially unique
Theoretical Computer Science
Engineering parallel in-place random generation of integer permutations
WEA'08 Proceedings of the 7th international conference on Experimental algorithms
Dual-residue montgomery multiplication
NPC'07 Proceedings of the 2007 IFIP international conference on Network and parallel computing
Programming constraint services: high-level programming of standard and new constraint services
Programming constraint services: high-level programming of standard and new constraint services
Source and channel simulation using arbitrary randomness
Allerton'09 Proceedings of the 47th annual Allerton conference on Communication, control, and computing
Clustering performance data efficiently at massive scales
Proceedings of the 24th ACM International Conference on Supercomputing
Securing every bit: authenticated broadcast in radio networks
Proceedings of the twenty-second annual ACM symposium on Parallelism in algorithms and architectures
A mixed hardware-software approach to flexible artificial neural network training on FPGA
SAMOS'09 Proceedings of the 9th international conference on Systems, architectures, modeling and simulation
A meta-level true random number generator
International Journal of Critical Computer-Based Systems
Invariant generation for P-solvable loops with assignments
CSR'08 Proceedings of the 3rd international conference on Computer science: theory and applications
IEEE Transactions on Circuits and Systems Part I: Regular Papers
IEEE Communications Letters
Algorithm 908: Online Exact Summation of Floating-Point Streams
ACM Transactions on Mathematical Software (TOMS)
Assessing the Quality of Pseudo-Random Number Generators
Computational Economics
Perturbing numerical calculations for statistical analysis of floating-point program (in)stability
Proceedings of the 19th international symposium on Software testing and analysis
Accurate evaluation of a polynomial and its derivative in Bernstein form
Computers & Mathematics with Applications
Accuracy versus time: a case study with summation algorithms
Proceedings of the 4th International Workshop on Parallel and Symbolic Computation
A statistical simulation method for reliability analysis of SRAM core-cells
Proceedings of the 47th Design Automation Conference
Iterative Toom-Cook methods for very unbalanced long integer multiplication
Proceedings of the 2010 International Symposium on Symbolic and Algebraic Computation
Role evolution in Open Multi-Agent Systems as an information source for trust
Proceedings of the 9th International Conference on Autonomous Agents and Multiagent Systems: volume 1 - Volume 1
Evaluation of permanents in rings and semirings
Information Processing Letters
About conceptual model of the test control problem
CI'10 Proceedings of the 4th WSEAS international conference on Computational intelligence
Proceedings of the ACM SIGCOMM 2010 conference
On the security of election audits with low entropy randomness
EVT/WOTE'09 Proceedings of the 2009 conference on Electronic voting technology/workshop on trustworthy elections
MILCOM'09 Proceedings of the 28th IEEE conference on Military communications
Improving multiplication and reminder using implementation based on word and index
Proceedings of the 1st Amrita ACM-W Celebration on Women in Computing in India
About randomised distributed graph colouring and graph partition algorithms
Information and Computation
Improved bound for stochastic formal correctness of numerical algorithms
Innovations in Systems and Software Engineering
Supervised ranking in the weka environment
Information Sciences: an International Journal
Points-to analysis as a system of linear equations
SAS'10 Proceedings of the 17th international conference on Static analysis
Algorithms and theory of computation handbook
Algorithm design and analysis techniques
Algorithms and theory of computation handbook
Algorithms and theory of computation handbook
Algebraic and numerical algorithms
Algorithms and theory of computation handbook
Applications of FFT and structured matrices
Algorithms and theory of computation handbook
The curse of connectivity: t-total vertex (edge) cover
COCOON'10 Proceedings of the 16th annual international conference on Computing and combinatorics
ICMS'10 Proceedings of the Third international congress conference on Mathematical software
A public key cryptosystem based upon euclidean addition chains
SETA'10 Proceedings of the 6th international conference on Sequences and their applications
Linear-time nearest point algorithms for coxeter lattices
IEEE Transactions on Information Theory
PPAM'09 Proceedings of the 8th international conference on Parallel processing and applied mathematics: Part II
Type-II optimal polynomial bases
WAIFI'10 Proceedings of the Third international conference on Arithmetic of finite fields
On duplication in mathematical repositories
AISC'10/MKM'10/Calculemus'10 Proceedings of the 10th ASIC and 9th MKM international conference, and 17th Calculemus conference on Intelligent computer mathematics
Hierarchically tiled arrays for parallelism and locality
IPDPS'06 Proceedings of the 20th international conference on Parallel and distributed processing
Algorithm 911: Multiple-Precision Exponential Integral and Related Functions
ACM Transactions on Mathematical Software (TOMS)
Evolutionary clustering of relational data
International Journal of Hybrid Intelligent Systems - Advances in Intelligent Agent Systems
Effective data-race detection for the kernel
OSDI'10 Proceedings of the 9th USENIX conference on Operating systems design and implementation
WISM'10 Proceedings of the 2010 international conference on Web information systems and mining
Protecting source location privacy in wireless sensor networks with data aggregation
UIC'10 Proceedings of the 7th international conference on Ubiquitous intelligence and computing
Implementation and application of extended precision in Matlab
MMACTEE'09 Proceedings of the 11th WSEAS international conference on Mathematical methods and computational techniques in electrical engineering
Improved collision attacks on the reduced-round Grøstl hash function
ISC'10 Proceedings of the 13th international conference on Information security
Proceedings of the 14th International Conference on Extending Database Technology
SIAM Journal on Numerical Analysis
Methods for the group classification of multi-attribute objects (part 2)
Scientific and Technical Information Processing
Methods for the group classification of multi-attribute objects (part 1)
Scientific and Technical Information Processing
A hardware gaussian noise generator using the wallace method
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
A fast GEMM implementation on the cypress GPU
ACM SIGMETRICS Performance Evaluation Review - Special issue on the 1st international workshop on performance modeling, benchmarking and simulation of high performance computing systems (PMBS 10)
Optimal covering codes for finding near-collisions
SAC'10 Proceedings of the 17th international conference on Selected areas in cryptography
Applications of the Cosecant and Related Numbers
Acta Applicandae Mathematicae: an international survey journal on applying mathematics and mathematical applications
SSLShader: cheap SSL acceleration with commodity processors
Proceedings of the 8th USENIX conference on Networked systems design and implementation
Teaching the art of computer programming (TAOCP)
Proceedings of the 16th Western Canadian Conference on Computing Education
Efficient CODEC designs for crosstalk avoidance codes based on numeral systems
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Study on the effects of pseudorandom generation quality on the performance of differential evolution
ICANNGA'11 Proceedings of the 10th international conference on Adaptive and natural computing algorithms - Volume Part I
An alternative class of irreducible polynomials for optimal extension fields
Designs, Codes and Cryptography
Combinatorial optimization for weighing matrices with the ordering messy genetic algorithm
SEA'11 Proceedings of the 10th international conference on Experimental algorithms
Parallel Position Weight Matrices algorithms
Parallel Computing
Parallel random number generations for Monte Carlo simulation
Proceedings of the 49th Annual Southeast Regional Conference
Journal of Computational Physics
Can code polymorphism limit information leakage?
WISTP'11 Proceedings of the 5th IFIP WG 11.2 international conference on Information security theory and practice: security and privacy of mobile devices in wireless communication
Analyzing standards for RSA integers
AFRICACRYPT'11 Proceedings of the 4th international conference on Progress in cryptology in Africa
Invitation to algorithmic uses of inclusion-exclusion
ICALP'11 Proceedings of the 38th international conference on Automata, languages and programming - Volume Part II
The enumeration of prudent polygons by area and its unusual asymptotics
Journal of Combinatorial Theory Series A
Practical divide-and-conquer algorithms for polynomial arithmetic
CASC'11 Proceedings of the 13th international conference on Computer algebra in scientific computing
Incorporating variance in impact-based search
CP'11 Proceedings of the 17th international conference on Principles and practice of constraint programming
Parallel random numbers: as easy as 1, 2, 3
Proceedings of 2011 International Conference for High Performance Computing, Networking, Storage and Analysis
A mathematical model for managing XML data
International Journal of Metadata, Semantics and Ontologies
Proceedings of the 15th Symposium on International Database Engineering & Applications
A Fast Randomized Algorithm for Orthogonal Projection
SIAM Journal on Scientific Computing
Boltzmann Samplers, Pólya Theory, and Cycle Pointing
SIAM Journal on Computing
Lamar: a new pseudorandom number generator evolved by means of genetic programming
PPSN'06 Proceedings of the 9th international conference on Parallel Problem Solving from Nature
Fast irreducibility testing for XTR using a gaussian normal basis of low complexity
SAC'04 Proceedings of the 11th international conference on Selected Areas in Cryptography
Fast zeta transforms for lattices with few irreducibles
Proceedings of the twenty-third annual ACM-SIAM symposium on Discrete Algorithms
Optimal use of montgomery multiplication on smart cards
CARDIS'06 Proceedings of the 7th IFIP WG 8.8/11.2 international conference on Smart Card Research and Advanced Applications
On the scrambled soboĺ sequence
ICCS'05 Proceedings of the 5th international conference on Computational Science - Volume Part III
Crympix: cryptographic multiprecision library
ISCIS'05 Proceedings of the 20th international conference on Computer and Information Sciences
Covert channels for collusion in online computer games
IH'04 Proceedings of the 6th international conference on Information Hiding
ASIAN'04 Proceedings of the 9th Asian Computing Science conference on Advances in Computer Science: dedicated to Jean-Louis Lassez on the Occasion of His 5th Cycle Birthday
Generating test data for specification-based tests via quasirandom sequences
ICCS'06 Proceedings of the 6th international conference on Computational Science - Volume Part IV
Parallel modular exponentiation using load balancing without precomputation
Journal of Computer and System Sciences
Experiment of multithreading symbolic and algebraic computations with OpenMP
ICMS'06 Proceedings of the Second international conference on Mathematical Software
The money changing problem revisited: computing the Frobenius number in time O(k a1)
COCOON'05 Proceedings of the 11th annual international conference on Computing and Combinatorics
A note on signed binary window algorithm for elliptic curve cryptosystems
CANS'05 Proceedings of the 4th international conference on Cryptology and Network Security
Memoryless near-collisions via coding theory
Designs, Codes and Cryptography
On regular realizability problems
Problems of Information Transmission
Attacking right-to-left modular exponentiation with timely random faults
FDTC'06 Proceedings of the Third international conference on Fault Diagnosis and Tolerance in Cryptography
Fault analysis of DPA-Resistant algorithms
FDTC'06 Proceedings of the Third international conference on Fault Diagnosis and Tolerance in Cryptography
Genetic algorithms evolving quasigroups with good pseudorandom properties
ICCSA'10 Proceedings of the 2010 international conference on Computational Science and Its Applications - Volume Part III
ICCSA'10 Proceedings of the 2010 international conference on Computational Science and Its Applications - Volume Part IV
Fast multi-computations with integer similarity strategy
PKC'05 Proceedings of the 8th international conference on Theory and Practice in Public Key Cryptography
On Buffon machines and numbers
Proceedings of the twenty-second annual ACM-SIAM symposium on Discrete Algorithms
A master theorem for discrete divide and conquer recurrences
Proceedings of the twenty-second annual ACM-SIAM symposium on Discrete Algorithms
Proving formally the implementation of an efficient gcd algorithm for polynomials
IJCAR'06 Proceedings of the Third international joint conference on Automated Reasoning
Fast and reliable random number generators for scientific computing
PARA'04 Proceedings of the 7th international conference on Applied Parallel Computing: state of the Art in Scientific Computing
Computation of high-precision mathematical constants in a combined cluster and grid environment
LSSC'05 Proceedings of the 5th international conference on Large-Scale Scientific Computing
WISA'04 Proceedings of the 5th international conference on Information Security Applications
Interactive visualization applets for modular exponentiation using addition chains
HAIS'10 Proceedings of the 5th international conference on Hybrid Artificial Intelligence Systems - Volume Part II
Performance potential for simulating spin models on GPU
Journal of Computational Physics
Using an RSA accelerator for modular inversion
CHES'05 Proceedings of the 7th international conference on Cryptographic hardware and embedded systems
Recursive polynomial remainder sequence and the nested subresultants
CASC'05 Proceedings of the 8th international conference on Computer Algebra in Scientific Computing
Fractional windows revisited: improved signed-digit representations for efficient exponentiation
ICISC'04 Proceedings of the 7th international conference on Information Security and Cryptology
Computation of algebraic numbers and arithmetic operations over them with linear memory
Automation and Remote Control
A low-memory algorithm for finding short product representations in finite groups
Designs, Codes and Cryptography
International Journal of Applied Cryptography
The cluster editing problem: implementations and experiments
IWPEC'06 Proceedings of the Second international conference on Parameterized and Exact Computation
Fully homomorphic encryption over the integers
EUROCRYPT'10 Proceedings of the 29th Annual international conference on Theory and Applications of Cryptographic Techniques
On the satisfiability of modular arithmetic formulae
ATVA'06 Proceedings of the 4th international conference on Automated Technology for Verification and Analysis
Watermarking essential data structures for copyright protection
CANS'06 Proceedings of the 5th international conference on Cryptology and Network Security
Measuring random tests by conditional entropy and optimal execution order
INTRUST'10 Proceedings of the Second international conference on Trusted Systems
Pairing-Based cryptography at high security levels
IMA'05 Proceedings of the 10th international conference on Cryptography and Coding
On quisquater's multiplication algorithm
Cryptography and Security
Cryptography and Security
Efficient computer search of large-order multiple recursive pseudo-random number generators
Journal of Computational and Applied Mathematics
Parallel computation of bivariate polynomial resultants on graphics processing units
PARA'10 Proceedings of the 10th international conference on Applied Parallel and Scientific Computing - Volume 2
A duality in space usage between left-to-right and right-to-left exponentiation
CT-RSA'12 Proceedings of the 12th conference on Topics in Cryptology
Deploy, adjust and readjust: supporting dynamic reconfiguration of policy enforcement
Middleware'11 Proceedings of the 12th ACM/IFIP/USENIX international conference on Middleware
A hardware efficient random number generator for nonuniform distributions with arbitrary precision
International Journal of Reconfigurable Computing - Special issue on Selected Papers from the International Conference on Reconfigurable Computing and FPGAs (ReConFig'10)
Accurate summation, dot product and polynomial evaluation in complex floating point arithmetic
Information and Computation
Fast and scalable parallel processing of scalar multiplication in elliptic curve cryptosystems
Security and Communication Networks
On construction of a library of formally verified low-level arithmetic functions
Proceedings of the 27th Annual ACM Symposium on Applied Computing
On robustness in control and LTI identification: Near-linearity and non-conic uncertainty
Automatica (Journal of IFAC)
Modular arithmetic and fast algorithm designed for modern computer security applications
ACIIDS'12 Proceedings of the 4th Asian conference on Intelligent Information and Database Systems - Volume Part III
Research note: Using RSA with low exponent in a public network
Computer Communications
Research note: Three ID-based information security functions
Computer Communications
Structure-Based RSA fault attacks
ISPEC'12 Proceedings of the 8th international conference on Information Security Practice and Experience
Computing the modular inverses is as simple as computing the GCDs
Finite Fields and Their Applications
Accurate evaluation algorithm for bivariate polynomial in Bernstein-Bézier form
Applied Numerical Mathematics
Pseudorandom number generators based on random covers for finite groups
Designs, Codes and Cryptography
Enriching introductory programming courses with non-intuitive probability experiments component
Proceedings of the 17th ACM annual conference on Innovation and technology in computer science education
On the architecture and implementation of tree-based genetic programming in HeuristicLab
Proceedings of the 14th annual conference companion on Genetic and evolutionary computation
Schönhage-Strassen algorithm with MapReduce for multiplying terabit integers
Proceedings of the 2011 International Workshop on Symbolic-Numeric Computation
A convolve-and-merge approach for exact computations on high-performance reconfigurable computers
International Journal of Reconfigurable Computing - Special issue on High-Performance Reconfigurable Computing
Fast online error detection and correction with thread signature calculae
Microprocessors & Microsystems
Fast and small nonlinear pseudorandom number generators for computer simulation
PPAM'11 Proceedings of the 9th international conference on Parallel Processing and Applied Mathematics - Volume Part I
Anonymous card shuffling and its applications to parallel mixnets
ICALP'12 Proceedings of the 39th international colloquium conference on Automata, Languages, and Programming - Volume Part II
Fundamenta Informaticae - Swarm Intelligence
On Generating All Binary Trees
Fundamenta Informaticae - New Frontiers in Scientific Discovery - Commemorating the Life and Work of Zdzislaw Pawlak
Tracing and recording interrupts in embedded software
Journal of Systems Architecture: the EUROMICRO Journal
On significance of the least significant bits for differential privacy
Proceedings of the 2012 ACM conference on Computer and communications security
Secure Communication of Medical Information Using Mobile Agents
Journal of Medical Systems
SC '12 Proceedings of the International Conference on High Performance Computing, Networking, Storage and Analysis
On the combination of self-organized systems to generate pseudo-random numbers
Information Sciences: an International Journal
Large-Order Multiple Recursive Generators with Modulus 231-1
INFORMS Journal on Computing
A new algorithmic scheme for computing characteristic sets
Journal of Symbolic Computation
On fast division algorithm for polynomials using newton iteration
ICICA'12 Proceedings of the Third international conference on Information Computing and Applications
CUDA-Enabled Optimisation of Technical Analysis Parameters
DS-RT '12 Proceedings of the 2012 IEEE/ACM 16th International Symposium on Distributed Simulation and Real Time Applications
Fast monotone summation over disjoint sets
IPEC'12 Proceedings of the 7th international conference on Parameterized and Exact Computation
Sparse polynomial powering using heaps
CASC'12 Proceedings of the 14th international conference on Computer Algebra in Scientific Computing
Deploy, adjust and readjust: supporting dynamic reconfiguration of policy enforcement
Proceedings of the 12th International Middleware Conference
Accurate evaluation of the k-th derivative of a polynomial and its application
Journal of Computational and Applied Mathematics
Variants of Mersenne Twister Suitable for Graphic Processors
ACM Transactions on Mathematical Software (TOMS)
Communications of the ACM
ACM Communications in Computer Algebra
Journal of Computational Physics
SEAL'12 Proceedings of the 9th international conference on Simulated Evolution and Learning
Shuffling against side-channel attacks: a comprehensive study with cautionary note
ASIACRYPT'12 Proceedings of the 18th international conference on The Theory and Application of Cryptology and Information Security
Design and Implementation of a Zero-Knowledge Authentication Framework for Java Card
International Journal of Information Security and Privacy
Fast hardware implementations of p systems
CMC'12 Proceedings of the 13th international conference on Membrane Computing
Arithmetic unit for computations in GF(p) with the left-shifting multiplicative inverse algorithm
ARCS'13 Proceedings of the 26th international conference on Architecture of Computing Systems
A Fast Recursive Algorithm and Architecture for Pruned Bit-reversal Interleavers
Journal of Signal Processing Systems
Course timetabling using evolutionary operators
Applied Soft Computing
AusPDC '11 Proceedings of the Ninth Australasian Symposium on Parallel and Distributed Computing - Volume 118
Resolving the conflict between generality and plausibility in verified computation
Proceedings of the 8th ACM European Conference on Computer Systems
Secure Transmission of Mobile Agent in Dynamic Distributed Environments
Wireless Personal Communications: An International Journal
Information-theoretic analysis of molecular (co)evolution using graphics processing units
Proceedings of the 3rd international workshop on Emerging computational methods for the life sciences
Parallel graph decompositions using random shifts
Proceedings of the twenty-fifth annual ACM symposium on Parallelism in algorithms and architectures
Journal of Systems and Software
A Master Theorem for Discrete Divide and Conquer Recurrences
Journal of the ACM (JACM)
On construction of a library of formally verified low-level arithmetic functions
Innovations in Systems and Software Engineering
Fault Analysis and Evaluation of a True Random Number Generator Embedded in a Processor
Journal of Electronic Testing: Theory and Applications
Most Tensor Problems Are NP-Hard
Journal of the ACM (JACM)
Finding anomalies in time-series using visual correlation for interactive root cause analysis
Proceedings of the Tenth Workshop on Visualization for Cyber Security
PRIME: private RSA infrastructure for memory-less encryption
Proceedings of the 29th Annual Computer Security Applications Conference
Study on the statistical test for string pseudorandom number generators
BICS'13 Proceedings of the 6th international conference on Advances in Brain Inspired Cognitive Systems
Improving modular inversion in RNS using the plus-minus method
CHES'13 Proceedings of the 15th international conference on Cryptographic Hardware and Embedded Systems
McBits: fast constant-time code-based cryptography
CHES'13 Proceedings of the 15th international conference on Cryptographic Hardware and Embedded Systems
Lambda coordinates for binary elliptic curves
CHES'13 Proceedings of the 15th international conference on Cryptographic Hardware and Embedded Systems
Analysis and improvement of the generic higher-order masking scheme of FSE 2012
CHES'13 Proceedings of the 15th international conference on Cryptographic Hardware and Embedded Systems
A lightweight mechanism for detection of cache pollution attacks in Named Data Networking
Computer Networks: The International Journal of Computer and Telecommunications Networking
Cloud computing in cryptography and steganography
Cybernetics and Systems Analysis
Proceedings of Programming Models and Applications on Multicores and Manycores
Suitability of chaotic iterations schemes using XORshift for security applications
Journal of Network and Computer Applications
Fast monotone summation over disjoint sets
Information Processing Letters
Internal differential collision attacks on the reduced-round GrØstl-0 hash function
Designs, Codes and Cryptography
Queueing Systems: Theory and Applications
A quantum genetic algorithm with quantum crossover and mutation operations
Quantum Information Processing
An experimental study of approximation algorithms for the joint spectral radius
Numerical Algorithms
Hi-index | 1.02 |