Automatic verification of finite-state concurrent systems using temporal logic specifications
ACM Transactions on Programming Languages and Systems (TOPLAS)
Graph-Based Algorithms for Boolean Function Manipulation
IEEE Transactions on Computers
Embedding boolean expressions into logic programming
Journal of Symbolic Computation
On the complexity of branching programs and decision trees for clique functions
Journal of the ACM (JACM)
Modified branching programs and their computational power
Modified branching programs and their computational power
Test pattern generation for sequential MOS circuits by symbolic fault simulation
DAC '89 Proceedings of the 26th ACM/IEEE Design Automation Conference
Using if-then-else DAGs for multi-level logic minimization
Proceedings of the decennial Caltech conference on VLSI on Advanced research in VLSI
Efficient implementation of a BDD package
DAC '90 Proceedings of the 27th ACM/IEEE Design Automation Conference
Sequential circuit verification using symbolic model checking
DAC '90 Proceedings of the 27th ACM/IEEE Design Automation Conference
Shared binary decision diagram with attributed edges for efficient Boolean function manipulation
DAC '90 Proceedings of the 27th ACM/IEEE Design Automation Conference
Boolean resubstitution with permissible functions and binary decision diagrams
DAC '90 Proceedings of the 27th ACM/IEEE Design Automation Conference
EST: The new frontier in automatic test-pattern generation
DAC '90 Proceedings of the 27th ACM/IEEE Design Automation Conference
Formal hardware verification by symbolic ternary trajectory evaluation
DAC '91 Proceedings of the 28th ACM/IEEE Design Automation Conference
Using BDDs to verify multipliers
DAC '91 Proceedings of the 28th ACM/IEEE Design Automation Conference
Breadth-first manipulation of SBDD of Boolean functions for vector processing
DAC '91 Proceedings of the 28th ACM/IEEE Design Automation Conference
Probabilistic CTSS: analysis of timing error probability in asynchronous logic circuits
DAC '91 Proceedings of the 28th ACM/IEEE Design Automation Conference
Proving circuit correctness using formal comparison between expected and extracted behaviour
DAC '88 Proceedings of the 25th ACM/IEEE Design Automation Conference
Logic Minimization Algorithms for VLSI Synthesis
Logic Minimization Algorithms for VLSI Synthesis
Introduction to VLSI Systems
Boolean Satisfiability and Equivalence Checking Using General Binary Decision Diagrams
ICCD '91 Proceedings of the 1991 IEEE International Conference on Computer Design on VLSI in Computer & Processors
The Complexity of Equivalence and Containment for Free Single Variable Program Schemes
Proceedings of the Fifth Colloquium on Automata, Languages and Programming
Verifying Temporal Properties of Sequential Machines Without Building their State Diagrams
CAV '90 Proceedings of the 2nd International Workshop on Computer Aided Verification
Generating BDDs for Symbolic Model Checking in CCS
CAV '91 Proceedings of the 3rd International Workshop on Computer Aided Verification
Learning &mgr;-branching programs with queries
COLT '93 Proceedings of the sixth annual conference on Computational learning theory
Precise and efficient groundness analysis for logic programs
ACM Letters on Programming Languages and Systems (LOPLAS)
Denotational abstract interpretation of logic programs
ACM Transactions on Programming Languages and Systems (TOPLAS)
An empirical evaluation of three methods for deadlock analysis of Ada tasking programs
ISSTA '94 Proceedings of the 1994 ACM SIGSOFT international symposium on Software testing and analysis
The Size of Reduced OBDD's and Optimal Read-Once Branching Programs for Almost all Boolean Functions
IEEE Transactions on Computers
Switching activity analysis considering spatiotemporal correlations
ICCAD '94 Proceedings of the 1994 IEEE/ACM international conference on Computer-aided design
DAC '94 Proceedings of the 31st annual Design Automation Conference
A symbolic constraint solving framework for analysis of logic programs
PEPM '95 Proceedings of the 1995 ACM SIGPLAN symposium on Partial evaluation and semantics-based program manipulation
Efficient OBDD-based boolean manipulation in CAD beyond current limits
DAC '95 Proceedings of the 32nd annual ACM/IEEE Design Automation Conference
Residue BDD and its application to the verification of arithmetic circuits
DAC '95 Proceedings of the 32nd annual ACM/IEEE Design Automation Conference
Binary decision diagrams and beyond: enabling technologies for formal verification
ICCAD '95 Proceedings of the 1995 IEEE/ACM international conference on Computer-aided design
A lower bound for integer multiplication with read-once branching programs
STOC '95 Proceedings of the twenty-seventh annual ACM symposium on Theory of computing
Practical program analysis using general purpose logic programming systems—a case study
PLDI '96 Proceedings of the ACM SIGPLAN 1996 conference on Programming language design and implementation
Combinational logic synthesis for LUT based field programmable gate arrays
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Improving the Variable Ordering of OBDDs Is NP-Complete
IEEE Transactions on Computers
Checking relational specifications with binary decision diagrams
SIGSOFT '96 Proceedings of the 4th ACM SIGSOFT symposium on Foundations of software engineering
Bit-level analysis of an SRT divider circuit
DAC '96 Proceedings of the 33rd annual Design Automation Conference
Verification techniques for cache coherence protocols
ACM Computing Surveys (CSUR)
Compilation of optimized OBDD-algorithms
EURO-DAC '96/EURO-VHDL '96 Proceedings of the conference on European design automation
MORE: an alternative implementation of BDD packages by multi-operand synthesis
EURO-DAC '96/EURO-VHDL '96 Proceedings of the conference on European design automation
Automatic structuring and optimization of hierarchical designs
EURO-DAC '96/EURO-VHDL '96 Proceedings of the conference on European design automation
On the Expressive Power of OKFDDs
Formal Methods in System Design
FPGA routing and routability estimation via Boolean satisfiability
FPGA '97 Proceedings of the 1997 ACM fifth international symposium on Field-programmable gate arrays
Beyond the combinatorial limit in depth minimization for LUT-based FPGA designs
ICCAD '93 Proceedings of the 1993 IEEE/ACM international conference on Computer-aided design
Representation and symbolic manipulation of linearly inductive Boolean functions
ICCAD '93 Proceedings of the 1993 IEEE/ACM international conference on Computer-aided design
Model checking for programming languages using VeriSoft
Proceedings of the 24th ACM SIGPLAN-SIGACT symposium on Principles of programming languages
Evaluating triggers using decision trees
CIKM '97 Proceedings of the sixth international conference on Information and knowledge management
A Unifying Theoretical Background for Some Bdd-based Data Structures
Formal Methods in System Design
Fast integrated tools for circuit design with FPGAs
FPGA '98 Proceedings of the 1998 ACM/SIGDA sixth international symposium on Field programmable gate arrays
Solving Boolean Equations Using ROSOP Forms
IEEE Transactions on Computers
Isomorph-free model enumeration: a new method for checking relational specifications
ACM Transactions on Programming Languages and Systems (TOPLAS)
Asynchronous interface specification, analysis and synthesis
DAC '98 Proceedings of the 35th annual Design Automation Conference
DAC '98 Proceedings of the 35th annual Design Automation Conference
Multiple Experiment Environments for Testing
Journal of Electronic Testing: Theory and Applications
Deriving Petri Nets from Finite Transition Systems
IEEE Transactions on Computers
Waiting false path analysis of sequential logic circuits for performance optimization
Proceedings of the 1998 IEEE/ACM international conference on Computer-aided design
The design of a cache-friendly BDD library
Proceedings of the 1998 IEEE/ACM international conference on Computer-aided design
Auxiliary variables for BDD-based representation and manipulation of Boolean functions
ACM Transactions on Design Automation of Electronic Systems (TODAES)
ACM Transactions on Design Automation of Electronic Systems (TODAES)
FPGA '99 Proceedings of the 1999 ACM/SIGDA seventh international symposium on Field programmable gate arrays
A Mechanically Checked Proof of the AMD5K86TM Floating-Point Division Program
IEEE Transactions on Computers
On Variable Ordering and Decomposition Type Choice in OKFDDs
IEEE Transactions on Computers
On a New Boolean Function with Applications
IEEE Transactions on Computers
Journal of Electronic Testing: Theory and Applications - special issue on high-level test synthesis
Query optimization for selections using bitmaps
SIGMOD '99 Proceedings of the 1999 ACM SIGMOD international conference on Management of data
Integrating symbolic techniques in ATPG-based sequential logic optimization
DATE '99 Proceedings of the conference on Design, automation and test in Europe
Formal verification in hardware design: a survey
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Behavioral synthesis of combinational logic using spectral-based heuristics
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Cycle-based symbolic simulation of gate-level synchronous circuits
Proceedings of the 36th annual ACM/IEEE Design Automation Conference
Proceedings of the 36th annual ACM/IEEE Design Automation Conference
An approach for extracting RT timing information to annotate algorithmic VHDL specifications
Proceedings of the 36th annual ACM/IEEE Design Automation Conference
Learning deterministic finite automata from smallest counterexamples
Proceedings of the ninth annual ACM-SIAM symposium on Discrete algorithms
Symbolic Verification of Communication Protocols with Infinite StateSpaces using QDDs
Formal Methods in System Design
Symbolic Protocol Verification with Queue BDDs
Formal Methods in System Design
Automatic Synthesis of Large Telescopic Units Based on Near-Minimum Timed Supersetting
IEEE Transactions on Computers
On embedding a microarchitectural design language within Haskell
Proceedings of the fourth ACM SIGPLAN international conference on Functional programming
Ordered Binary Decision Diagrams and Minimal Trellises
IEEE Transactions on Computers
Sharing and groundness dependencies in logic programs
ACM Transactions on Programming Languages and Systems (TOPLAS)
Least Upper Bounds for the Size of OBDDs Using Symmetry Properties
IEEE Transactions on Computers
Proceedings of the 37th Annual Design Automation Conference
Analysis of composition complexity and how to obtain smaller canonical graphs
Proceedings of the 37th Annual Design Automation Conference
Using the exact state space of a Markov model to compute approximate stationary measures
Proceedings of the 2000 ACM SIGMETRICS international conference on Measurement and modeling of computer systems
Verifying safety properties of concurrent Java programs using 3-valued logic
POPL '01 Proceedings of the 28th ACM SIGPLAN-SIGACT symposium on Principles of programming languages
Conduit cascades and secure synchronization
Proceedings of the 2000 workshop on New security paradigms
Abstraction of word-level linear arithmetic functions from bit-level component descriptions
Proceedings of the conference on Design, automation and test in Europe
Proceedings of the conference on Design, automation and test in Europe
Exploiting symbolic techniques for partial scan flip flop selection
Proceedings of the conference on Design, automation and test in Europe
Multi-clock path analysis using propositional satisfiability
ASP-DAC '00 Proceedings of the 2000 Asia and South Pacific Design Automation Conference
The multiple variable order problem for binary decision diagrams: theory and practical application
Proceedings of the 2001 Asia and South Pacific Design Automation Conference
Symbolic Analysis of Bounded Petri Nets
IEEE Transactions on Computers
Dependency preserving probabilistic modeling of switching activity using bayesian networks
Proceedings of the 38th annual Design Automation Conference
Proceedings of the 38th annual Design Automation Conference
Checking equivalence for partial implementations
Proceedings of the 38th annual Design Automation Conference
On BPP versus NP (semi-circle up) coNP for ordered read-once branching programs
Theoretical Computer Science
Decomposable negation normal form
Journal of the ACM (JACM)
sub-SAT: a formulation for relaxed boolean satisfiability with applications in routing
Proceedings of the 2002 international symposium on Physical design
The nonapproximability of OBDD minimization
Information and Computation
Efficient state representation for symbolic simulation
Proceedings of the 39th annual Design Automation Conference
Initializability analysis of synchronous sequential circuits
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Logic Synthesis and Verification
Ordered binary decision diagrams
Logic Synthesis and Verification
Readings in hardware/software co-design
Distributed and structured analysis approaches to study large and complex systems
Lectures on formal methods and performance analysis
AnWeb: a system for automatic support to web application verification
SEKE '02 Proceedings of the 14th international conference on Software engineering and knowledge engineering
Data structures for Boolean functions
Computational Discrete Mathematics
Precise pair-sharing analysis of logic programs
Proceedings of the 4th ACM SIGPLAN international conference on Principles and practice of declarative programming
Compressing inverted files in scalable information systems by binary decision diagram encoding
Proceedings of the 2001 ACM/IEEE conference on Supercomputing
Alternating-time temporal logic
Journal of the ACM (JACM)
Nonmonotonic reasoning: from complexity to algorithms
Annals of Mathematics and Artificial Intelligence
Using computational learning strategies as a tool for combinatorial optimization
Annals of Mathematics and Artificial Intelligence
Model Checking Complete Requirements Specifications Using Abstraction
Automated Software Engineering
Automatic Real-Time Analysis of Reactive Systems with the PARTS Toolset
Automated Software Engineering
Toupie: The µ-calculus over Finite Domains as a Constraint Language
Journal of Automated Reasoning
An efficient verification procedure supporting evolution of reactive system specifications
IWPSE '01 Proceedings of the 4th International Workshop on Principles of Software Evolution
Factored Edge-Valued Binary Decision Diagrams
Formal Methods in System Design
Polynomial Formal Verification of Multipliers
Formal Methods in System Design
Experience in Validation of PowerPCTM Microprocessor Embedded Arrays
Journal of Electronic Testing: Theory and Applications
Circular Self-Test Path for FSMs
IEEE Design & Test
Efficient Boolean Manipulation with OBDD's Can be Extended to FBDD's
IEEE Transactions on Computers
Formal Verification Using Edge-Valued Binary Decision Diagrams
IEEE Transactions on Computers
Evaluating Deadlock Detection Methods for Concurrent Software
IEEE Transactions on Software Engineering
The BDD space complexity of different forms of concurrency
Fundamenta Informaticae - Application of concurrency to system design
Prediction of Power Requirements for High-Speed Circuits
Real-World Applications of Evolutionary Computing, EvoWorkshops 2000: EvoIASP, EvoSCONDI, EvoTel, EvoSTIM, EvoROB, and EvoFlight
A Self-Repairing and Self-Healing Electronic Watch: The BioWatch
ICES '01 Proceedings of the 4th International Conference on Evolvable Systems: From Biology to Hardware
The MSO Logic-Automaton Connection in Linguistics
LACL '97 Selected papers from the Second International Conference on Logical Aspects of Computational Linguistics
MFCS '00 Proceedings of the 25th International Symposium on Mathematical Foundations of Computer Science
Binary Decision Diagrams by Shard Rewriting
MFCS '00 Proceedings of the 25th International Symposium on Mathematical Foundations of Computer Science
Possibilistic and Probabilistic Abstraction-Based Model Checking
PAPM-PROBMIV '02 Proceedings of the Second Joint International Workshop on Process Algebra and Probabilistic Methods, Performance Modeling and Verification
The Lumberjack Algorithm for Learning Linked Decision Forests
SARA '02 Proceedings of the 4th International Symposium on Abstraction, Reformulation, and Approximation
Verification of Automotive Control Units
Correct System Design, Recent Insight and Advances, (to Hans Langmaack on the occasion of his retirement from his professorship at the University of Kiel)
On Solving Temporal Logic Queries
AMAST '02 Proceedings of the 9th International Conference on Algebraic Methodology and Software Technology
Factorizing Equivalent Variable Pairs in ROBDD-Based Implementations of Pos
AMAST '98 Proceedings of the 7th International Conference on Algebraic Methodology and Software Technology
Approximations by OBDDs and the Variable Ordering Problem
ICAL '99 Proceedings of the 26th International Colloquium on Automata, Languages and Programming
On the Construction of Automata from Linear Arithmetic Constraints
TACAS '00 Proceedings of the 6th International Conference on Tools and Algorithms for Construction and Analysis of Systems: Held as Part of the European Joint Conferences on the Theory and Practice of Software, ETAPS 2000
Automatic Abstraction of Memories in the Formal Verification of Superscalar Microprocessors
TACAS 2001 Proceedings of the 7th International Conference on Tools and Algorithms for the Construction and Analysis of Systems
Model Checking: A Tutorial Overview
MOVEP '00 Proceedings of the 4th Summer School on Modeling and Verification of Parallel Processes
Implementing a Multi-valued Symbolic Model Checker
TACAS 2001 Proceedings of the 7th International Conference on Tools and Algorithms for the Construction and Analysis of Systems
Saturation: An Efficient Iteration Strategy for Symbolic State-Space Generation
TACAS 2001 Proceedings of the 7th International Conference on Tools and Algorithms for the Construction and Analysis of Systems
Importing MDG Verification Results into HOL
TPHOLs '99 Proceedings of the 12th International Conference on Theorem Proving in Higher Order Logics
Reachability Programming in HOL98 Using BDDs
TPHOLs '00 Proceedings of the 13th International Conference on Theorem Proving in Higher Order Logics
Improving the Encoding of LTL Model Checking into SAT
VMCAI '02 Revised Papers from the Third International Workshop on Verification, Model Checking, and Abstract Interpretation
Abstraction-Based Model Checking Using Modal Transition Systems
CONCUR '01 Proceedings of the 12th International Conference on Concurrency Theory
Efficient Multiple-Valued Model-Checking Using Lattice Representations
CONCUR '01 Proceedings of the 12th International Conference on Concurrency Theory
CHARME '99 Proceedings of the 10th IFIP WG 10.5 Advanced Research Working Conference on Correct Hardware Design and Verification Methods
Automatic Error Correction of Large Circuits Using Boolean Decomposition and Abstraction
CHARME '99 Proceedings of the 10th IFIP WG 10.5 Advanced Research Working Conference on Correct Hardware Design and Verification Methods
Practical Point-in-Polygon Tests Using CSG Representations of Polygons
ALENEX '99 Selected papers from the International Workshop on Algorithm Engineering and Experimentation
Implementation of Relational Algebra Using Binary Decision Diagrams
ReIMICS '01 Revised Papers from the 6th International Conference and 1st Workshop of COST Action 274 TARSKI on Relational Methods in Computer Science
PSI '99 Proceedings of the Third International Andrei Ershov Memorial Conference on Perspectives of System Informatics
Integrating BDD-Based and SAT-Based Symbolic Model Checking
FroCoS '02 Proceedings of the 4th International Workshop on Frontiers of Combining Systems
Compactly Representing First-Order Structures for Static Analysis
SAS '02 Proceedings of the 9th International Symposium on Static Analysis
SAS '99 Proceedings of the 6th International Symposium on Static Analysis
Structured Formal Verification of a Fragment of the IBM S/390 Clock Chip
FM-Trends 98 Proceedings of the International Workshop on Current Trends in Applied Formal Method: Applied Formal Methods
How to Make FDR Spin LTL Model Checking of CSP by Refinement
FME '01 Proceedings of the International Symposium of Formal Methods Europe on Formal Methods for Increasing Software Productivity
Model-Checking over Multi-valued Logics
FME '01 Proceedings of the International Symposium of Formal Methods Europe on Formal Methods for Increasing Software Productivity
Stepwise CTL Model Checking of State/Event Systems
CAV '99 Proceedings of the 11th International Conference on Computer Aided Verification
Efficient Reachability Set Generation and Storage Using Decision Diagrams
Proceedings of the 20th International Conference on Application and Theory of Petri Nets
CAV '01 Proceedings of the 13th International Conference on Computer Aided Verification
Benefits of Bounded Model Checking at an Industrial Setting
CAV '01 Proceedings of the 13th International Conference on Computer Aided Verification
Meta-BDDs: A Decomposed Representation for Layered Symbolic Manipulation of Boolean Functions
CAV '01 Proceedings of the 13th International Conference on Computer Aided Verification
Symbolic Methods for the State Space Exploration of GSPN Models
TOOLS '02 Proceedings of the 12th International Conference on Computer Performance Evaluation, Modelling Techniques and Tools
A Reconfigurable Approach to Packet Filtering
FPL '01 Proceedings of the 11th International Conference on Field-Programmable Logic and Applications
Restrictive Acceptance Suffices for Equivalence Problems
FCT '99 Proceedings of the 12th International Symposium on Fundamentals of Computation Theory
Formally Linking MDG and HOL Based on a Verified MDG System
IFM '02 Proceedings of the Third International Conference on Integrated Formal Methods
The Def-inite Approach to Dependency Analysis
ESOP '01 Proceedings of the 10th European Symposium on Programming Languages and Systems
Quotienting Share for Dependency Analysis
ESOP '99 Proceedings of the 8th European Symposium on Programming Languages and Systems
Towards Automated Proof of Fail-Safe Behaviour
SAFECOMP '98 Proceedings of the 17th International Conference on Computer Safety, Reliability and Security
Learning from examples with unspecified attribute values
Information and Computation
Model checking: a tutorial overview
Modeling and verification of parallel processes
Journal of Symbolic Computation
Infinitary relations and their representation
Science of Computer Programming - Special issue on static analysis (SAS'99)
Formal verification of the FPGA cores
Nordic Journal of Computing
Handbook of automated reasoning
PLDI '03 Proceedings of the ACM SIGPLAN 2003 conference on Programming language design and implementation
High-level test evaluation of asynchronous circuits
ASYNC '95 Proceedings of the 2nd Working Conference on Asynchronous Design Methodologies
On applicability of symbolic techniques to larger scheduling problems
EDTC '95 Proceedings of the 1995 European conference on Design and Test
Checking signal transition graph implementability by symbolic BDD traversal
EDTC '95 Proceedings of the 1995 European conference on Design and Test
How many decomposition types do we need? [decision diagrams]
EDTC '95 Proceedings of the 1995 European conference on Design and Test
K*BMDs: A New Data Structure for Verification
EDTC '96 Proceedings of the 1996 European conference on Design and Test
Fast and Efficient Construction of BDDs by Reordering Based Synthesis
EDTC '97 Proceedings of the 1997 European conference on Design and Test
Minimizing ROBDD Sizes of Incompletely Specified Boolean Functions by Exploiting Strong Symmetries
EDTC '97 Proceedings of the 1997 European conference on Design and Test
Hybrid symbolic-explicit techniques for the graph coloring problem
EDTC '97 Proceedings of the 1997 European conference on Design and Test
Partial-Order Methods for Model Checking: From Linear Time to Branching Time
LICS '96 Proceedings of the 11th Annual IEEE Symposium on Logic in Computer Science
Symbolic Protocol Verification with Queue BDDs
LICS '96 Proceedings of the 11th Annual IEEE Symposium on Logic in Computer Science
A study of composition schemes for mixed apply/compose based construction of ROBDDs
VLSID '96 Proceedings of the 9th International Conference on VLSI Design: VLSI in Mobile Communication
Formal Verification of Combinational Circuit
VLSID '97 Proceedings of the Tenth International Conference on VLSI Design: VLSI in Multimedia Applications
Switching Activity Estimation of Large Circuits using Multiple Bayesian Networks
ASP-DAC '02 Proceedings of the 2002 Asia and South Pacific Design Automation Conference
VTS '98 Proceedings of the 16th IEEE VLSI Test Symposium
ITC '97 Proceedings of the 1997 IEEE International Test Conference
Systematic Formal Verification of Interpreters
ICFEM '97 Proceedings of the 1st International Conference on Formal Engineering Methods
A genetic algorithm for decomposition type choice in OKFDDs
INBS '95 Proceedings of the First International Symposium on Intelligence in Neural and Biological Systems (INBS'95)
Algorithms and heuristics in VLSI design
Experimental algorithmics
SAT-based planning in complex domains: concurrency, constraints and nondeterminism
Artificial Intelligence - special issue on planning with uncertainty and incomplete information
Dependability Assessment Using Binary Decision Diagrams (BDDs)
FTCS '95 Proceedings of the Twenty-Fifth International Symposium on Fault-Tolerant Computing
Finding all minimal unsatisfiable subsets
Proceedings of the 5th ACM SIGPLAN international conference on Principles and practice of declaritive programming
A lower bound for integer multiplication on randomized ordered read-once branching programs
Information and Computation
Switching activity estimation of VLSI circuits using Bayesian networks
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Petri net modeling of gate and interconnect delays for power estimation
IEEE Transactions on Very Large Scale Integration (VLSI) Systems - Special section on low power
Integration, the VLSI Journal
Improved Symoblic Simulation by Dynamic Funtional Space Partitioning
Proceedings of the conference on Design, automation and test in Europe - Volume 1
The Knowledge Engineering Review
Constraint-guided dynamic reconfiguration in sensor networks
Proceedings of the 3rd international symposium on Information processing in sensor networks
Worst-case groundness analysis using definite Boolean functions
Theory and Practice of Logic Programming
Jedd: a BDD-based relational extension of Java
Proceedings of the ACM SIGPLAN 2004 conference on Programming language design and implementation
Any-time probabilistic switching model using bayesian networks
Proceedings of the 2004 international symposium on Low power electronics and design
Theoretical Computer Science
Improved symbolic simulation by functional-space decomposition
Proceedings of the 2004 Asia and South Pacific Design Automation Conference
On the computational complexity of qualitative coalitional games
Artificial Intelligence
Finite-tree analysis for constraint logic-based languages
Information and Computation
Conformant planning via symbolic model checking and heuristic search
Artificial Intelligence
Flow analysis for verifying properties of concurrent software systems
ACM Transactions on Software Engineering and Methodology (TOSEM)
On the benefits of using functional transitions and Kronecker algebra
Performance Evaluation
Using configuration technology as the core of a legal decision support system
ICAIL '03 Proceedings of the 9th international conference on Artificial intelligence and law
Efficient Relational Calculation for Software Analysis
IEEE Transactions on Software Engineering
Relativizations for the Logic-Automata Connection
Higher-Order and Symbolic Computation
Encyclopedia of Computer Science
Diagnosing a team of agents: scaling-up
Proceedings of the fourth international joint conference on Autonomous agents and multiagent systems
Measuring precision for static and dynamic design pattern recognition as a function of coverage
WODA '05 Proceedings of the third international workshop on Dynamic analysis
Software Model Checking: The VeriSoft Approach
Formal Methods in System Design
Functional declarative language design and predicate calculus: a practical approach
ACM Transactions on Programming Languages and Systems (TOPLAS)
On the influence of the variable ordering for algorithmic learning using OBDDs
Information and Computation
An efficient query learning algorithm for ordered binary decision diagrams
Information and Computation
Using 2-domain partitioned OBDD data structure in an enhanced symbolic simulator
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Enhanced symbolic simulation for efficient verification of embedded array systems
ASP-DAC '03 Proceedings of the 2003 Asia and South Pacific Design Automation Conference
STACCATO: disjoint support decompositions from BDDs through symbolic kernels
Proceedings of the 2005 Asia and South Pacific Design Automation Conference
TED+: a data structure for microprocessor verification
Proceedings of the 2005 Asia and South Pacific Design Automation Conference
Symmetry in temporal logic model checking
ACM Computing Surveys (CSUR)
Measurement and optimization of access control lists
Acta Cybernetica
Optimal trajectory generation for petri nets
Acta Cybernetica
Managing space for finite-state verification
Proceedings of the 28th international conference on Software engineering
Symbolic model checking of declarative relational models
Proceedings of the 28th international conference on Software engineering
Strong planning under partial observability
Artificial Intelligence
Logic and stochastic modeling with SMART
Performance Evaluation - Modelling techniques and tools for computer performance evaluation
Proceedings of the 41st annual Design Automation Conference
Fast falsification based on symbolic bounded property checking
Proceedings of the 43rd annual Design Automation Conference
Boolean equation solving as graph traversal
CATS '06 Proceedings of the 12th Computing: The Australasian Theroy Symposium - Volume 51
Optimizing slicing of formal specifications by deductive verification
Nordic Journal of Computing - Selected papers of the 17th nordic workshop on programming theory (NWPT'05), October 19-21, 2005
Synthesizing diagnostic explanations from monitoring data in multi-robot systems
AIA'06 Proceedings of the 24th IASTED international conference on Artificial intelligence and applications
Efficient software model checking of data structure properties
Proceedings of the 21st annual ACM SIGPLAN conference on Object-oriented programming systems, languages, and applications
Scalable subgraph mapping for acyclic computation accelerators
CASES '06 Proceedings of the 2006 international conference on Compilers, architecture and synthesis for embedded systems
Formal performance evaluation of AMBA-based system-on-chip designs
EMSOFT '06 Proceedings of the 6th ACM & IEEE International conference on Embedded software
Data structures for symbolic multi-valued model-checking
Formal Methods in System Design
A hierarchy result for read-once branching programs with restricted parity nondeterminism
Theoretical Computer Science - Mathematical foundations of computer science 2000
The complexity of tree automata and XPath on grammar-compressed trees
Theoretical Computer Science - Implementation and application of automata
A decision support system for product design selection: a generalized purchase modeling approach
Decision Support Systems
ATerms for manipulation and exchange of structured data: It's all about sharing
Information and Software Technology
Hybrid verification integrating HOL theorem proving with MDG model checking
Microelectronics Journal
A framework for decentralized access control
ASIACCS '07 Proceedings of the 2nd ACM symposium on Information, computer and communications security
Providing a formal linkage between MDG and HOL
Formal Methods in System Design
From molecular interactions to gates: a systematic approach
Proceedings of the 2006 IEEE/ACM international conference on Computer-aided design
Discrete Event Dynamic Systems
Verification method of dataflow algorithms in high-level synthesis
Journal of Systems and Software
Saturation for a General Class of Models
IEEE Transactions on Software Engineering
Delta execution for efficient state-space exploration of object-oriented programs
Proceedings of the 2007 international symposium on Software testing and analysis
Interactive, scalable, declarative program analysis: from prototype to implementation
Proceedings of the 9th ACM SIGPLAN international conference on Principles and practice of declarative programming
Partition search for non-binary constraint satisfaction
Information Sciences: an International Journal
Probabilistic abstraction for model checking: An approach based on property testing
ACM Transactions on Computational Logic (TOCL)
Performance estimation of distributed real-time embedded systems by discrete event simulations
EMSOFT '07 Proceedings of the 7th ACM & IEEE international conference on Embedded software
Groebner bases computation in Boolean rings for symbolic model checking
MOAS'07 Proceedings of the 18th conference on Proceedings of the 18th IASTED International Conference: modelling and simulation
Displacement BDD and geometric transformations of binary decision diagram encoded images
Pattern Recognition Letters
Extended resolution simulates binary decision diagrams
Discrete Applied Mathematics
A logic-based agent that plans for extended reachability goals
Autonomous Agents and Multi-Agent Systems
State-space reduction in the model of Access Control Lists in IP routers
International Journal of Internet Protocol Technology
The decomposition tree for analyses of boolean functions
Mathematical Structures in Computer Science
On the role of modeling causal independence for system model compilation with OBDDs
AI Communications - Model-Based Systems
Defining and continuous checking of structural program dependencies
Proceedings of the 30th international conference on Software engineering
Symbolic OBDD representations for mechanical assembly sequences
Computer-Aided Design
Relations as an abstraction for BDD-based program analysis
ACM Transactions on Programming Languages and Systems (TOPLAS)
Evaluating the benefits of context-sensitive points-to analysis using a BDD-based implementation
ACM Transactions on Software Engineering and Methodology (TOSEM)
Abstraction of Graph Transformation Systems by Temporal Logic and Its Verification
Verified Software: Theories, Tools, Experiments
A Symbolic Model Checking Framework for Safety Analysis, Diagnosis, and Synthesis
Model Checking and Artificial Intelligence
Multi-state Directed Acyclic Graphs
CAI '07 Proceedings of the 20th conference of the Canadian Society for Computational Studies of Intelligence on Advances in Artificial Intelligence
Cost-Bounded Binary Decision Diagrams for 0-1 Programming
CPAIOR '07 Proceedings of the 4th international conference on Integration of AI and OR Techniques in Constraint Programming for Combinatorial Optimization Problems
Testing Distributed Systems Through Symbolic Model Checking
FORTE '07 Proceedings of the 27th IFIP WG 6.1 international conference on Formal Techniques for Networked and Distributed Systems
An Incremental and Modular Technique for Checking LTL\X Properties of Petri Nets
FORTE '07 Proceedings of the 27th IFIP WG 6.1 international conference on Formal Techniques for Networked and Distributed Systems
MC-SOG: An LTL Model Checker Based on Symbolic Observation Graphs
PETRI NETS '08 Proceedings of the 29th international conference on Applications and Theory of Petri Nets
Using ZBDDs in Points-to Analysis
Languages and Compilers for Parallel Computing
Z2SAL - Building a Model Checker for Z
ABZ '08 Proceedings of the 1st international conference on Abstract State Machines, B and Z
Efficient software model checking of soundness of type systems
Proceedings of the 23rd ACM SIGPLAN conference on Object-oriented programming systems languages and applications
SPaC: a symbolic pareto calculator
CODES+ISSS '08 Proceedings of the 6th IEEE/ACM/IFIP international conference on Hardware/Software codesign and system synthesis
Model-based fault detection in context-aware adaptive applications
Proceedings of the 16th ACM SIGSOFT International Symposium on Foundations of software engineering
The symbolic OBDD scheme for generating mechanical assembly sequences
Formal Methods in System Design
Planning as model checking: the performance of ProB vs NuSMV
Proceedings of the 2008 annual research conference of the South African Institute of Computer Scientists and Information Technologists on IT research in developing countries: riding the wave of technology
LCF-Style Propositional Simplification with BDDs and SAT Solvers
TPHOLs '08 Proceedings of the 21st International Conference on Theorem Proving in Higher Order Logics
Shared Ordered Binary Decision Diagrams for Dempster-Shafer Theory
ECSQARU '07 Proceedings of the 9th European Conference on Symbolic and Quantitative Approaches to Reasoning with Uncertainty
Efficient Set Sharing Using ZBDDs
Languages and Compilers for Parallel Computing
Supervised classification using probabilistic decision graphs
Computational Statistics & Data Analysis
ICLP '08 Proceedings of the 24th International Conference on Logic Programming
Fuzzy Description Logic Reasoning Using a Fixpoint Algorithm
LFCS '09 Proceedings of the 2009 International Symposium on Logical Foundations of Computer Science
Bit-precise reasoning with affine functions
SMT '08/BPR '08 Proceedings of the Joint Workshops of the 6th International Workshop on Satisfiability Modulo Theories and 1st International Workshop on Bit-Precise Reasoning
Task decomposition on abstract states, for planning under nondeterminism
Artificial Intelligence
A generalization of Cobham's theorem to automata over real numbers
Theoretical Computer Science
Scaling Search with Pattern Databases
Model Checking and Artificial Intelligence
Non deterministic repairable fault trees for computing optimal repair strategy
Proceedings of the 3rd International Conference on Performance Evaluation Methodologies and Tools
Verification Techniques for System-Level Design
Verification Techniques for System-Level Design
A model for integrating dialogue and the execution of joint plans
Proceedings of The 8th International Conference on Autonomous Agents and Multiagent Systems - Volume 2
Parametric abstraction of behavioral modes for model-based diagnosis
AI Communications
A MuDDy Experience---ML Bindings to a BDD Library
DSL '09 Proceedings of the IFIP TC 2 Working Conference on Domain-Specific Languages
Automated deduction for verification
ACM Computing Surveys (CSUR)
AAAI'06 proceedings of the 21st national conference on Artificial intelligence - Volume 2
Compilation of query-rewriting problems into tractable fragments of propositional logic
AAAI'06 Proceedings of the 21st national conference on Artificial intelligence - Volume 1
Approximate compilation for embedded model-based reasoning
AAAI'06 Proceedings of the 21st national conference on Artificial intelligence - Volume 1
The COMPASS Approach: Correctness, Modelling and Performability of Aerospace Systems
SAFECOMP '09 Proceedings of the 28th International Conference on Computer Safety, Reliability, and Security
A Generalization of Semenov's Theorem to Automata over Real Numbers
CADE-22 Proceedings of the 22nd International Conference on Automated Deduction
Symbolic Abstraction and Deadlock-Freeness Verification of Inter-enterprise Processes
BPM '09 Proceedings of the 7th International Conference on Business Process Management
Towards model-based diagnosis of coordination failures
AAAI'05 Proceedings of the 20th national conference on Artificial intelligence - Volume 1
Incremental algorithms for approximate compilation
AAAI'08 Proceedings of the 23rd national conference on Artificial intelligence - Volume 3
Conformant planning via symbolic model checking
Journal of Artificial Intelligence Research
Taming numbers and durations in the model checking integrated planning system
Journal of Artificial Intelligence Research
Generalizing Boolean satisfiability I: background and survey of existing work
Journal of Artificial Intelligence Research
Solving set constraint satisfaction problems using ROBDDs
Journal of Artificial Intelligence Research
Closed-loop learning of visual control policies
Journal of Artificial Intelligence Research
Journal of Artificial Intelligence Research
First order decision diagrams for relational MDPs
Journal of Artificial Intelligence Research
Journal of Artificial Intelligence Research
Constructing conditional plans by a theorem-prover
Journal of Artificial Intelligence Research
Diagnosability testing with satisfiability algorithms
IJCAI'07 Proceedings of the 20th international joint conference on Artifical intelligence
IJCAI'07 Proceedings of the 20th international joint conference on Artifical intelligence
Exploiting data-redundancy in reliability-aware networked embedded system design
CODES+ISSS '09 Proceedings of the 7th IEEE/ACM international conference on Hardware/software codesign and system synthesis
A Term Rewriting Technique for Decision Graphs
Electronic Notes in Theoretical Computer Science (ENTCS)
IJCAI'01 Proceedings of the 17th international joint conference on Artificial intelligence - Volume 1
Heuristic search + symbolic model checking = efficient conformant planning
IJCAI'01 Proceedings of the 17th international joint conference on Artificial intelligence - Volume 1
Planning in nondeterministic domains under partial observability via symbolic model checking
IJCAI'01 Proceedings of the 17th international joint conference on Artificial intelligence - Volume 1
Strong planning under partial observability
Artificial Intelligence
Algebra of systems: a metalanguage for model synthesis and evaluation
IEEE Transactions on Systems, Man, and Cybernetics, Part A: Systems and Humans
Scalable scheduling algorithms for wireless networkedcontrol systems
CASE'09 Proceedings of the fifth annual IEEE international conference on Automation science and engineering
Groebner bases computation in Boolean rings for symbolic model checking
MS '07 The 18th IASTED International Conference on Modelling and Simulation
Teaching Concurrency: Theory in Practice
TFM '09 Proceedings of the 2nd International Conference on Teaching Formal Methods
Graded-CTL: Satisfiability and Symbolic Model Checking
ICFEM '09 Proceedings of the 11th International Conference on Formal Engineering Methods: Formal Methods and Software Engineering
Modeling Service Level Agreements with Binary Decision Diagrams
ICSOC-ServiceWave '09 Proceedings of the 7th International Joint Conference on Service-Oriented Computing
Finite-state verification of the ebXML protocol
Electronic Commerce Research and Applications
Distributed Symbolic Bounded Property Checking
Electronic Notes in Theoretical Computer Science (ENTCS)
Symbolic Reasoning with Weighted and Normalized Decision Diagrams
Electronic Notes in Theoretical Computer Science (ENTCS)
On the influence of the variable ordering for algorithmic learning using OBDDs
Information and Computation
An efficient query learning algorithm for ordered binary decision diagrams
Information and Computation
A BDD-based verification method for large synthesized circuits
Integration, the VLSI Journal
FSM Encoding for BDD Representations
International Journal of Applied Mathematics and Computer Science
The computational complexity of equivalence and isomorphism problems
The computational complexity of equivalence and isomorphism problems
Algorithms for memory hierarchies: advanced lectures
Algorithms for memory hierarchies: advanced lectures
Hardware and Petri nets: application to asynchronous circuit design
ICATPN'00 Proceedings of the 21st international conference on Application and theory of petri nets
Efficient symbolic state-space construction for asynchronous systems
ICATPN'00 Proceedings of the 21st international conference on Application and theory of petri nets
Safety systems optimum design by multicriteria evolutionary algorithms
EMO'03 Proceedings of the 2nd international conference on Evolutionary multi-criterion optimization
Extracting a simplified view of design functionality based on vector simulation
HVC'06 Proceedings of the 2nd international Haifa verification conference on Hardware and software, verification and testing
On population size and neutrality: facilitating the evolution of evolvability
EuroGP'07 Proceedings of the 10th European conference on Genetic programming
The lumberjack algorithm for learning linked decision forests
PRICAI'00 Proceedings of the 6th Pacific Rim international conference on Artificial intelligence
Equational binary decision diagrams
LPAR'00 Proceedings of the 7th international conference on Logic for programming and automated reasoning
TACAS'03 Proceedings of the 9th international conference on Tools and algorithms for the construction and analysis of systems
Data representation and efficient solution: a decision diagram approach
SFM'07 Proceedings of the 7th international conference on Formal methods for performance evaluation
Logic programming approach to automata-based decision procedures
ICLP'07 Proceedings of the 23rd international conference on Logic programming
Symbolic fault tree analysis for reactive systems
ATVA'07 Proceedings of the 5th international conference on Automated technology for verification and analysis
Generic feature-based software composition
SC'07 Proceedings of the 6th international conference on Software composition
Reduced implicate/implicant tries
ISMIS'08 Proceedings of the 17th international conference on Foundations of intelligent systems
Constructing optimal XOR-functions to minimize cache conflict misses
ARCS'08 Proceedings of the 21st international conference on Architecture of computing systems
A memory efficient algorithm for network reliability
APCC'09 Proceedings of the 15th Asia-Pacific conference on Communications
A survey of automated deduction
Artificial intelligence today
Efficient querying and maintenance of network provenance at internet-scale
Proceedings of the 2010 ACM SIGMOD International Conference on Management of data
Parallel disk-based computation for large, monolithic binary decision diagrams
Proceedings of the 4th International Workshop on Parallel and Symbolic Computation
Boolean affine approximation with binary decision diagrams
CATS '09 Proceedings of the Fifteenth Australasian Symposium on Computing: The Australasian Theory - Volume 94
Explicit Versus Symbolic Algorithms for Solving ALFP Constraints
Electronic Notes in Theoretical Computer Science (ENTCS)
Range and Set Abstraction using SAT
Electronic Notes in Theoretical Computer Science (ENTCS)
Quadtrees as an Abstract Domain
Electronic Notes in Theoretical Computer Science (ENTCS)
Robust design of embedded systems
Proceedings of the Conference on Design, Automation and Test in Europe
Small formulas for large programs: on-line constraint simplification in scalable static analysis
SAS'10 Proceedings of the 17th international conference on Static analysis
A fine-grained view of GP locality with binary decision diagrams as ant phenotypes
PPSN'10 Proceedings of the 11th international conference on Parallel problem solving from nature: Part I
Feature-based composition of software architectures
ECSA'10 Proceedings of the 4th European conference on Software architecture
Approximate model-based diagnosis using greedy stochastic search
Journal of Artificial Intelligence Research
Mixed constraint satisfaction: a framework for decision problems under incomplete knowledge
AAAI'96 Proceedings of the thirteenth national conference on Artificial intelligence - Volume 1
A new algorithm for computing theory prime implicates compilations
AAAI'96 Proceedings of the thirteenth national conference on Artificial intelligence - Volume 1
Points-to analysis with efficient strong updates
Proceedings of the 38th annual ACM SIGPLAN-SIGACT symposium on Principles of programming languages
Sal/Svm: an assembly language and virtual machine for computing with non-enumerated sets
Virtual Machines and Intermediate Languages
Learning visual representations for perception-action systems
International Journal of Robotics Research
Sawja: static analysis workshop for java
FoVeOOS'10 Proceedings of the 2010 international conference on Formal verification of object-oriented software
Cascaded Bayesian inferencing for switching activity estimation with correlated inputs
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Application of partial-order methods for the verification of closed-loop SDL systems
Proceedings of the 2011 ACM Symposium on Applied Computing
Symbolic abstraction and deadlock-freeness verification of inter-enterprise processes
Data & Knowledge Engineering
Symbolic model checking of software product lines
Proceedings of the 33rd International Conference on Software Engineering
Approximate quantifier elimination for propositional boolean formulae
NFM'11 Proceedings of the Third international conference on NASA Formal methods
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Efficient solutions to factored MDPs with imprecise transition probabilities
Artificial Intelligence
QoS policy verification for DiffServ networks
Proceedings of the Nineteenth International Workshop on Quality of Service
Program model checking via action planning
MoChArt'10 Proceedings of the 6th international conference on Model checking and artificial intelligence
Symbolic model checking the knowledge in Herbivore protocol
MoChArt'10 Proceedings of the 6th international conference on Model checking and artificial intelligence
Relational modelling and solution of chessboard problems
RAMICS'11 Proceedings of the 12th international conference on Relational and algebraic methods in computer science
Galois connections for flow algebras
FMOODS'11/FORTE'11 Proceedings of the joint 13th IFIP WG 6.1 and 30th IFIP WG 6.1 international conference on Formal techniques for distributed systems
Functional term rewriting systems towards symbolic model-checking
International Journal of Critical Computer-Based Systems
Comparing machine learning approaches for context-aware composition
SC'11 Proceedings of the 10th international conference on Software composition
Parallel recursive state compression for free
Proceedings of the 18th international SPIN conference on Model checking software
Decisions: algebra and implementation
MLDM'11 Proceedings of the 7th international conference on Machine learning and data mining in pattern recognition
Representation of coalitional games with algebraic decision diagrams
The 10th International Conference on Autonomous Agents and Multiagent Systems - Volume 3
A constraint satisfaction framework for decision under uncertainty
UAI'95 Proceedings of the Eleventh conference on Uncertainty in artificial intelligence
Evolving binary decision diagrams with emergent variable orderings
PPSN'06 Proceedings of the 9th international conference on Parallel Problem Solving from Nature
An automata approach to pattern collections
KDID'04 Proceedings of the Third international conference on Knowledge Discovery in Inductive Databases
Mapping conformant planning into SAT through compilation and projection
CAEPIA'05 Proceedings of the 11th Spanish association conference on Current Topics in Artificial Intelligence
Retrenchment, and the generation of fault trees for static, dynamic and cyclic systems
SAFECOMP'06 Proceedings of the 25th international conference on Computer Safety, Reliability, and Security
Component-Based hazard analysis: optimal designs, product lines, and online-reconfiguration
SAFECOMP'06 Proceedings of the 25th international conference on Computer Safety, Reliability, and Security
Boolean rings for intersection-based satisfiability
LPAR'06 Proceedings of the 13th international conference on Logic for Programming, Artificial Intelligence, and Reasoning
Relational approach to boolean logic problems
RelMiCS'05 Proceedings of the 8th international conference on Relational Methods in Computer Science, Proceedings of the 3rd international conference on Applications of Kleene Algebra
Efficient query processing with compiled knowledge bases
TABLEAUX'05 Proceedings of the 14th international conference on Automated Reasoning with Analytic Tableaux and Related Methods
A decision procedure for the alternation-free two-way modal µ-calculus
TABLEAUX'05 Proceedings of the 14th international conference on Automated Reasoning with Analytic Tableaux and Related Methods
A hybrid BDD and SAT finite domain constraint solver
PADL'06 Proceedings of the 8th international conference on Practical Aspects of Declarative Languages
Experimental evaluation of classical automata constructions
LPAR'05 Proceedings of the 12th international conference on Logic for Programming, Artificial Intelligence, and Reasoning
On the BDD of a random boolean function
ASIAN'04 Proceedings of the 9th Asian Computing Science conference on Advances in Computer Science: dedicated to Jean-Louis Lassez on the Occasion of His 5th Cycle Birthday
Analysing robot swarm behaviour via probabilistic model checking
Robotics and Autonomous Systems
Model-checking timed ATL for durational concurrent game structures
FORMATS'06 Proceedings of the 4th international conference on Formal Modeling and Analysis of Timed Systems
Model-Based diagnosis through OBDD compilation: a complexity analysis
Reasoning, Action and Interaction in AI Theories and Systems
Distributed model-checking and counterexample search for CTL logic
International Journal of Critical Computer-Based Systems
Repair of boolean programs with an application to c
CAV'06 Proceedings of the 18th international conference on Computer Aided Verification
A BDD-Representation for the logic of equality and uninterpreted functions
MFCS'05 Proceedings of the 30th international conference on Mathematical Foundations of Computer Science
Duality in knowledge compilation techniques
ISMIS'05 Proceedings of the 15th international conference on Foundations of Intelligent Systems
Normal forms for knowledge compilation
ISMIS'05 Proceedings of the 15th international conference on Foundations of Intelligent Systems
An automata-theoretic approach for model-checking systems with unspecified components
FATES'04 Proceedings of the 4th international conference on Formal Approaches to Software Testing
VMCAI'06 Proceedings of the 7th international conference on Verification, Model Checking, and Abstract Interpretation
Set bounds and (split) set domain propagation using ROBDDs
AI'04 Proceedings of the 17th Australian joint conference on Advances in Artificial Intelligence
Generating polynomial invariants for hybrid systems
HSCC'05 Proceedings of the 8th international conference on Hybrid Systems: computation and control
Efficient symbolic simulation via dynamic scheduling, don't caring, and case splitting
CHARME'05 Proceedings of the 13 IFIP WG 10.5 international conference on Correct Hardware Design and Verification Methods
RelView: an OBDD-based computer algebra system for relations
CASC'05 Proceedings of the 8th international conference on Computer Algebra in Scientific Computing
An efficient pattern matching algorithm on a subclass of context free grammars
DLT'04 Proceedings of the 8th international conference on Developments in Language Theory
Implementation of packet filter configurations anomaly detection system with SIERRA
ICICS'05 Proceedings of the 7th international conference on Information and Communications Security
FM'06 Proceedings of the 14th international conference on Formal Methods
Decision diagrams: fast and flexible support for case retrieval and recommendation
ECCBR'06 Proceedings of the 8th European conference on Advances in Case-Based Reasoning
Data assurance in opaque computations
ACG'09 Proceedings of the 12th international conference on Advances in Computer Games
A model for integrating dialogue and the execution of joint plans
ArgMAS'09 Proceedings of the 6th international conference on Argumentation in Multi-Agent Systems
BDD-Based hardware verification
SFM'06 Proceedings of the 6th international conference on Formal Methods for the Design of Computer, Communication, and Software Systems
PRIMA'11 Proceedings of the 14th international conference on Agents in Principle, Agents in Practice
The complexity of classical and quantum branching programs: a communication complexity approach
SAGA'05 Proceedings of the Third international conference on StochasticAlgorithms: foundations and applications
Tree automata and XPath on compressed trees
CIAA'05 Proceedings of the 10th international conference on Implementation and Application of Automata
New metrics for static variable ordering in decision diagrams
TACAS'06 Proceedings of the 12th international conference on Tools and Algorithms for the Construction and Analysis of Systems
Size-Change termination analysis in k-bits
ESOP'06 Proceedings of the 15th European conference on Programming Languages and Systems
Computing argumentation in polynomial number of BDD operations: a preliminary report
ArgMAS'10 Proceedings of the 7th international conference on Argumentation in Multi-Agent Systems
On application of multi-rooted binary decision diagrams to probabilistic model checking
VMCAI'12 Proceedings of the 13th international conference on Verification, Model Checking, and Abstract Interpretation
Analyzing temporal properties of abstract models
ASE '11 Proceedings of the 2011 26th IEEE/ACM International Conference on Automated Software Engineering
A framework for the checking and refactoring of crosscutting concepts
ACM Transactions on Software Engineering and Methodology (TOSEM)
Local structure and determinism in probabilistic databases
SIGMOD '12 Proceedings of the 2012 ACM SIGMOD International Conference on Management of Data
Distributed CTL model-checking and counterexample search
VECoS'09 Proceedings of the Third international conference on Verification and Evaluation of Computer and Communication Systems
SBMC: symmetric bounded model checking
VECoS'10 Proceedings of the Fourth international conference on Verification and Evaluation of Computer and Communication Systems
Searching for counter-examples adaptively
IWFM'03 Proceedings of the 6th international conference on Formal Methods
Solving graded/probabilistic modal logic via linear inequalities (system description)
LPAR'12 Proceedings of the 18th international conference on Logic for Programming, Artificial Intelligence, and Reasoning
Automata-Based symbolic representations of polyhedra
LATA'12 Proceedings of the 6th international conference on Language and Automata Theory and Applications
Pushdown model checking for malware detection
TACAS'12 Proceedings of the 18th international conference on Tools and Algorithms for the Construction and Analysis of Systems
Automatic inference of memory fences
ACM SIGACT News
Optimized composition of performance-aware parallel components
Concurrency and Computation: Practice & Experience
On the effectiveness of CNF and DNF representations in contingent planning
IJCAI'11 Proceedings of the Twenty-Second international joint conference on Artificial Intelligence - Volume Volume Three
A comprehensive approach to on-board autonomy verification and validation
IJCAI'11 Proceedings of the Twenty-Second international joint conference on Artificial Intelligence - Volume Volume Three
On the impact of belief state representation in planning under uncertainty
IJCAI'11 Proceedings of the Twenty-Second international joint conference on Artificial Intelligence - Volume Volume Three
Survey: Linear Temporal Logic Symbolic Model Checking
Computer Science Review
On parallel software verification using boolean equation systems
SPIN'12 Proceedings of the 19th international conference on Model Checking Software
Proceedings of the 14th symposium on Principles and practice of declarative programming
The BDD Space Complexity of Different Forms of Concurrency
Fundamenta Informaticae - Application of Concurrency to System Design
Deadlock-freedom in component systems with architectural constraints
Formal Methods in System Design
Computation of Minimal Siphons in Petri Nets by Using Binary Decision Diagrams
ACM Transactions on Embedded Computing Systems (TECS) - Special Issue on Modeling and Verification of Discrete Event Systems
Automatic generation and learning of finite-state controllers
AIMSA'12 Proceedings of the 15th international conference on Artificial Intelligence: methodology, systems, and applications
Optimized temporal monitors for SystemC
Formal Methods in System Design
A counterexample-based incremental and modular verification approach
Proceedings of the 17th Monterey conference on Large-Scale Complex IT Systems: development, operation and management
Prognostic normative reasoning
Engineering Applications of Artificial Intelligence
Compressing IP forwarding tables: towards entropy bounds and beyond
Proceedings of the ACM SIGCOMM 2013 conference on SIGCOMM
Beyond boolean product-line model checking: dealing with feature attributes and multi-features
Proceedings of the 2013 International Conference on Software Engineering
Bayesian inference using data flow analysis
Proceedings of the 2013 9th Joint Meeting on Foundations of Software Engineering
ProVeLines: a product line of verifiers for software product lines
Proceedings of the 17th International Software Product Line Conference co-located workshops
Precise shape analysis using field sensitivity
Innovations in Systems and Software Engineering
Multi-core systems modeling for formal verification of parallel algorithms
ACM SIGOPS Operating Systems Review
Boolean equation solving as graph traversal
CATS '06 Proceedings of the Twelfth Computing: The Australasian Theory Symposium - Volume 51
Deterministic compilation of temporal safety properties in explicit state model checking
HVC'12 Proceedings of the 8th international conference on Hardware and Software: verification and testing
International Journal of Web Services Research
A generalization of SAT and #SAT for robust policy evaluation
IJCAI'13 Proceedings of the Twenty-Third international joint conference on Artificial Intelligence
Verification and enforcement of access control policies
Formal Methods in System Design
A modal specification theory for components with data
Science of Computer Programming
Hi-index | 0.04 |
Ordered Binary-Decision Diagrams (OBDDs) represent Boolean functions as directed acyclic graphs. They form a canonical representation, making testing of functional properties such as satisfiability and equivalence straightforward. A number of operations on Boolean functions can be implemented as graph algorithms on OBDD data structures. Using OBDDs, a wide variety of problems can be solved through symbolic analysis. First, the possible variations in system parameters and operating conditions are encoded with Boolean variables. Then the system is evaluated for all variations by a sequence of OBDD operations. Researchers have thus solved a number of problems in digital-system design, finite-state system analysis, artificial intelligence, and mathematical logic. This paper describes the OBDD data structure and surveys a number of applications that have been solved by OBDD-based symbolic analysis.