Design of pipeline analog-to-digital converters via geometric programming
Proceedings of the 2002 IEEE/ACM international conference on Computer-aided design
Efficient description of the design space of analog circuits
Proceedings of the 40th annual Design Automation Conference
Throughput-centric routing algorithm design
Proceedings of the fifteenth annual ACM symposium on Parallel algorithms and architectures
Learning probabilistic models: an expected utility maximization approach
The Journal of Machine Learning Research
Generalization error bounds for Bayesian mixture algorithms
The Journal of Machine Learning Research
Proceedings of the conference on Design, automation and test in Europe - Volume 2
Managing power consumption in networks on chips
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
ORACLE: optimization with recourse of analog circuits including layout extraction
Proceedings of the 41st annual Design Automation Conference
Automated fixed-point data-type optimization tool for signal processing and communication systems
Proceedings of the 41st annual Design Automation Conference
Measuring the Robustness of a Resource Allocation
IEEE Transactions on Parallel and Distributed Systems
Optimum Downlink Power Control of a DS-CDMA System via Convex Programming
Journal of VLSI Signal Processing Systems
Variational Chernoff bounds for graphical models
UAI '04 Proceedings of the 20th conference on Uncertainty in artificial intelligence
A New Method for Design of Robust Digital Circuits
ISQED '05 Proceedings of the 6th International Symposium on Quality of Electronic Design
A Geometric Programming Framework for Optimal Multi-Level Tiling
Proceedings of the 2004 ACM/IEEE conference on Supercomputing
Geometric programming for circuit optimization
Proceedings of the 2005 international symposium on Physical design
On the Transport Capacity of Gaussian Multiple Access and Broadcast Channels
WIOPT '05 Proceedings of the Third International Symposium on Modeling and Optimization in Mobile, Ad Hoc, and Wireless Networks
Power balanced coverage-time optimization for clustered wireless sensor networks
Proceedings of the 6th ACM international symposium on Mobile ad hoc networking and computing
An efficient algorithm for statistical minimization of total power under timing yield constraints
Proceedings of the 42nd annual Design Automation Conference
Robust gate sizing by geometric programming
Proceedings of the 42nd annual Design Automation Conference
OPERA: optimization with ellipsoidal uncertainty for robust analog IC design
Proceedings of the 42nd annual Design Automation Conference
Algorithmic problems in power management
ACM SIGACT News
Power-optimal repeater insertion considering Vdd and Vth as design freedoms
ISLPED '05 Proceedings of the 2005 international symposium on Low power electronics and design
Proceedings of the eleventh ACM SIGKDD international conference on Knowledge discovery in data mining
Greedy SINR maximization in collaborative multibase wireless systems
EURASIP Journal on Wireless Communications and Networking - Special issue on multiuser MIMO networks
Primal-Dual Monotone Kernel Regression
Neural Processing Letters
Derivation of the Lewenstein-Sanpera Decomposition via Semidefinite Programming
Quantum Information Processing
MSWiM '05 Proceedings of the 8th ACM international symposium on Modeling, analysis and simulation of wireless and mobile systems
Sum capacity maximization in MIMO channels with multiuser interference
PE-WASUN '05 Proceedings of the 2nd ACM international workshop on Performance evaluation of wireless ad hoc, sensor, and ubiquitous networks
Minimum energy decentralized estimation in a wireless sensor network with correlated sensor noises
EURASIP Journal on Wireless Communications and Networking
Intelligent light control using sensor networks
Proceedings of the 3rd international conference on Embedded networked sensor systems
Web image clustering by consistent utilization of visual features and surrounding texts
Proceedings of the 13th annual ACM international conference on Multimedia
A unified framework for resolving ambiguity in copy detection
Proceedings of the 13th annual ACM international conference on Multimedia
Similarity space projection for web image search and annotation
Proceedings of the 7th ACM SIGMM international workshop on Multimedia information retrieval
Expectation maximization algorithms for conditional likelihoods
ICML '05 Proceedings of the 22nd international conference on Machine learning
Learning structured prediction models: a large margin approach
ICML '05 Proceedings of the 22nd international conference on Machine learning
ICDM '05 Proceedings of the Fifth IEEE International Conference on Data Mining
Analysis of incomplete data and an intrinsic-dimension Helly theorem
SODA '06 Proceedings of the seventeenth annual ACM-SIAM symposium on Discrete algorithm
Fuzzy inference based robust beamforming
Signal Processing
Proceedings of the 2004 IEEE/ACM International conference on Computer-aided design
Proceedings of the 2004 IEEE/ACM International conference on Computer-aided design
Towards automatic verification of affine hybrid system stability
ACM SIGBED Review - Special issue: IEEE RTAS 2005 work-in-progress
ConvexSmooth: A simultaneous convex fitting and smoothing algorithm for convex optimization problems
ISQED '06 Proceedings of the 7th International Symposium on Quality Electronic Design
ICCAD '05 Proceedings of the 2005 IEEE/ACM International conference on Computer-aided design
IEEE Transactions on Mobile Computing
Fluid models of integrated traffic and multipath routing
Queueing Systems: Theory and Applications
Message ferry route design for sparse ad hoc networks with mobile nodes
Proceedings of the 7th ACM international symposium on Mobile ad hoc networking and computing
Throughput and delay optimization in interference-limited multihop networks
Proceedings of the 7th ACM international symposium on Mobile ad hoc networking and computing
Proportionally fair allocation of end-to-end bandwidth in STDMA wireless networks
Proceedings of the 7th ACM international symposium on Mobile ad hoc networking and computing
Wakeup scheduling in wireless sensor networks
Proceedings of the 7th ACM international symposium on Mobile ad hoc networking and computing
Large-scale text categorization by batch mode active learning
Proceedings of the 15th international conference on World Wide Web
Fast Downloading of Large Files over Fading Wireless Channels
WOWMOM '06 Proceedings of the 2006 International Symposium on on World of Wireless, Mobile and Multimedia Networks
Algorithms for simultaneous sparse approximation: part II: Convex relaxation
Signal Processing - Sparse approximations in signal and image processing
Proceedings of the 2006 international conference on Wireless communications and mobile computing
Joint power minimization in wireless relay channels
Proceedings of the 2006 international conference on Wireless communications and mobile computing
ICML '06 Proceedings of the 23rd international conference on Machine learning
Nightmare at test time: robust learning by feature deletion
ICML '06 Proceedings of the 23rd international conference on Machine learning
Optimal kernel selection in Kernel Fisher discriminant analysis
ICML '06 Proceedings of the 23rd international conference on Machine learning
Pareto optimal linear classification
ICML '06 Proceedings of the 23rd international conference on Machine learning
The support vector decomposition machine
ICML '06 Proceedings of the 23rd international conference on Machine learning
A duality view of spectral methods for dimensionality reduction
ICML '06 Proceedings of the 23rd international conference on Machine learning
Discriminative unsupervised learning of structured predictors
ICML '06 Proceedings of the 23rd international conference on Machine learning
Active learning via transductive experimental design
ICML '06 Proceedings of the 23rd international conference on Machine learning
Symbolic computation of multidimensional Fenchel conjugates
Proceedings of the 2006 international symposium on Symbolic and algebraic computation
Statistical timing based on incomplete probabilistic descriptions of parameter uncertainty
Proceedings of the 43rd annual Design Automation Conference
Gate sizing: finFETs vs 32nm bulk MOSFETs
Proceedings of the 43rd annual Design Automation Conference
Variability driven gate sizing for binning yield optimization
Proceedings of the 43rd annual Design Automation Conference
Matrix games in the multicast networks: maximum information flows with network switching
IEEE/ACM Transactions on Networking (TON) - Special issue on networking and information theory
IEEE/ACM Transactions on Networking (TON) - Special issue on networking and information theory
One-way delay estimation using network-wide measurements
IEEE/ACM Transactions on Networking (TON) - Special issue on networking and information theory
Incremental approximate matrix factorization for speeding up support vector machines
Proceedings of the 12th ACM SIGKDD international conference on Knowledge discovery and data mining
Symbolic computation of Fenchel conjugates
ACM Communications in Computer Algebra
An Algorithm for Approximate Multiparametric Convex Programming
Computational Optimization and Applications
A Binary Linear Programming Formulation of the Graph Edit Distance
IEEE Transactions on Pattern Analysis and Machine Intelligence
Cross-layer design of energy-constrained networks using cooperative MIMO techniques
Signal Processing - Special section: Advances in signal processing-assisted cross-layer designs
Signal Processing - Special section: Advances in signal processing-assisted cross-layer designs
Proceedings of the 9th ACM international symposium on Modeling analysis and simulation of wireless and mobile systems
Geometric programming for communication systems
Communications and Information Theory
Learning concepts from large scale imbalanced data sets using support cluster machines
MULTIMEDIA '06 Proceedings of the 14th annual ACM international conference on Multimedia
End-to-end rate allocation in multi-radio wireless mesh networks: cross-layer schemes
QShine '06 Proceedings of the 3rd international conference on Quality of service in heterogeneous wired/wireless networks
Journal of Mathematical Imaging and Vision
Paid peering among internet service providers
GameNets '06 Proceeding from the 2006 workshop on Game theory for communications and networks
IEEE Transactions on Mobile Computing
Expectation Consistent Approximate Inference
The Journal of Machine Learning Research
Speed scaling to manage energy and temperature
Journal of the ACM (JACM)
Constrained optimization using multiple objective programming
Journal of Global Optimization
Towards power and QoS aware wireless networks
ACM SIGMETRICS Performance Evaluation Review
Network classless time protocol based on clock offset optimization
IEEE/ACM Transactions on Networking (TON)
Median and related local filters for tensor-valued images
Signal Processing
Semi-supervised conditional random fields for improved sequence segmentation and labeling
ACL-44 Proceedings of the 21st International Conference on Computational Linguistics and the 44th annual meeting of the Association for Computational Linguistics
Semidefinite spectral clustering
Pattern Recognition
Distributed average consensus with least-mean-square deviation
Journal of Parallel and Distributed Computing
Multi-cue Pedestrian Detection and Tracking from a Moving Vehicle
International Journal of Computer Vision
A low complexity multicarrier PAR reduction approach based on subgradient optimization
Signal Processing - Special section: Multimodal human-computer interfaces
Analysis of SVM regression bounds for variable ranking
Neurocomputing
Locating sensor nodes on construction projects
Autonomous Robots
Statistical circuit optimization considering device andinterconnect process variations
Proceedings of the 2007 international workshop on System level interconnect prediction
Variability-driven formulation for simultaneous gate sizing and post-silicon tunability allocation
Proceedings of the 2007 international symposium on Physical design
Proceedings of the 2006 IEEE/ACM international conference on Computer-aided design
A revisit to floorplan optimization by Lagrangian relaxation
Proceedings of the 2006 IEEE/ACM international conference on Computer-aided design
Robust system level design with analog platforms
Proceedings of the 2006 IEEE/ACM international conference on Computer-aided design
Modeling and understanding students' off-task behavior in intelligent tutoring systems
Proceedings of the SIGCHI Conference on Human Factors in Computing Systems
Proceedings of the 16th international conference on World Wide Web
Computers & Mathematics with Applications
Training a Support Vector Machine in the Primal
Neural Computation
Robust Control of Markov Decision Processes with Uncertain Transition Matrices
Operations Research
Digital Circuit Optimization via Geometric Programming
Operations Research
Face recognition using spectral features
Pattern Recognition
Online Passive-Aggressive Algorithms
The Journal of Machine Learning Research
The Interplay of Optimization and Machine Learning Research
The Journal of Machine Learning Research
Second Order Cone Programming Approaches for Handling Missing and Uncertain Data
The Journal of Machine Learning Research
Fast SDP Relaxations of Graph Cut Clustering, Transduction, and Other Combinatorial Problems
The Journal of Machine Learning Research
Large Scale Multiple Kernel Learning
The Journal of Machine Learning Research
Efficient Learning of Label Ranking by Soft Projections onto Polyhedra
The Journal of Machine Learning Research
Structured Prediction, Dual Extragradient and Bregman Projections
The Journal of Machine Learning Research
Considering Cost Asymmetry in Learning Classifiers
The Journal of Machine Learning Research
General Polynomial Time Decomposition Algorithms
The Journal of Machine Learning Research
Dimensionality Reduction of Multimodal Labeled Data by Local Fisher Discriminant Analysis
The Journal of Machine Learning Research
Dynamic Spectrum Access with QoS and Interference Temperature Constraints
IEEE Transactions on Mobile Computing
Globally Optimal Estimates for Geometric Reconstruction Problems
International Journal of Computer Vision
A new technique for characterization of digital-to-analog converters in high-speed systems
Proceedings of the conference on Design, automation and test in Europe
Efficient computation of the worst-delay corner
Proceedings of the conference on Design, automation and test in Europe
Jointly rate and power control in contention based MultiHop Wireless Networks
Computer Communications
Multiobjective control with frictional contacts
SCA '07 Proceedings of the 2007 ACM SIGGRAPH/Eurographics symposium on Computer animation
Uncovering shared structures in multiclass classification
Proceedings of the 24th international conference on Machine learning
Direct convex relaxations of sparse SVM
Proceedings of the 24th international conference on Machine learning
Hierarchical maximum entropy density estimation
Proceedings of the 24th international conference on Machine learning
Sparse probabilistic classifiers
Proceedings of the 24th international conference on Machine learning
Learning nonparametric kernel matrices from pairwise constraints
Proceedings of the 24th international conference on Machine learning
Online kernel PCA with entropic matrix updates
Proceedings of the 24th international conference on Machine learning
Pegasos: Primal Estimated sub-GrAdient SOlver for SVM
Proceedings of the 24th international conference on Machine learning
Classifying matrices with a spectral regularization
Proceedings of the 24th international conference on Machine learning
Discriminant kernel and regularization parameter learning via semidefinite programming
Proceedings of the 24th international conference on Machine learning
Maximum margin clustering made practical
Proceedings of the 24th international conference on Machine learning
Multiclass multiple kernel learning
Proceedings of the 24th international conference on Machine learning
Predicting the Performance of Cooperative Simultaneous Localization and Mapping (C-SLAM)
International Journal of Robotics Research
TROY: track router with yield-driven wire planning
Proceedings of the 44th annual Design Automation Conference
Period optimization for hard real-time distributed automotive systems
Proceedings of the 44th annual Design Automation Conference
Proceedings of the 44th annual Design Automation Conference
Parameterized macromodeling for analog system-level design exploration
Proceedings of the 44th annual Design Automation Conference
Efficient resource allocation in clustered wireless mesh networks
IWCMC '07 Proceedings of the 2007 international conference on Wireless communications and mobile computing
Distributed throughput-maximization using the up- and downlink duality in wireless networks
IWCMC '07 Proceedings of the 2007 international conference on Wireless communications and mobile computing
Nonlinear adaptive distance metric learning for clustering
Proceedings of the 13th ACM SIGKDD international conference on Knowledge discovery and data mining
Enhanced max margin learning on multimodal data mining in a multimedia database
Proceedings of the 13th ACM SIGKDD international conference on Knowledge discovery and data mining
Cost-effective outbreak detection in networks
Proceedings of the 13th ACM SIGKDD international conference on Knowledge discovery and data mining
Proceedings of the 13th ACM SIGKDD international conference on Knowledge discovery and data mining
Algorithms and incentives for robust ranking
SODA '07 Proceedings of the eighteenth annual ACM-SIAM symposium on Discrete algorithms
EURASIP Journal on Wireless Communications and Networking
Unifying view on min-max fairness, max-min fairness, and utility optimization incellular networks
EURASIP Journal on Wireless Communications and Networking
Bandwidth optimization in centralized WLANs for different traffic types
EURASIP Journal on Wireless Communications and Networking
Quadratic interpolation and linear lifting design
Journal on Image and Video Processing
Bandwidth-sharing networks in overload
Performance Evaluation
Integrated topology control and routing in wireless optical mesh networks
Computer Networks: The International Journal of Computer and Telecommunications Networking
An Extension of Iterative Scaling for Decision and Data Aggregation in Ensemble Classification
Journal of VLSI Signal Processing Systems
An alternative approach for non-linear optimal control problems based on the method of moments
Computational Optimization and Applications
Adaptive contact probing mechanisms for delay tolerant applications
Proceedings of the 13th annual ACM international conference on Mobile computing and networking
WLAN VoIP capacity allocation using an adaptive voice packetization server
Computer Communications
The worst-case interference in DSL systems employing dynamic spectrum management
EURASIP Journal on Applied Signal Processing
A Sketch Algorithm for Estimating Two-Way and Multi-Way Associations
Computational Linguistics
D-optimal design of a monitoring network for parameter estimation of distributed systems
Journal of Global Optimization
EURASIP Journal on Applied Signal Processing
Constrained optimization of MIMO training sequences
EURASIP Journal on Applied Signal Processing
Maximum MIMO system mutual information with antenna selection and interference
EURASIP Journal on Applied Signal Processing
Temperature-aware processor frequency assignment for MPSoCs using convex optimization
CODES+ISSS '07 Proceedings of the 5th IEEE/ACM international conference on Hardware/software codesign and system synthesis
Knowledge and Information Systems
Correlative multi-label video annotation
Proceedings of the 15th international conference on Multimedia
Rate allocation for multi-user video streaming over heterogenous access networks
Proceedings of the 15th international conference on Multimedia
Clustering web images with multi-modal features
Proceedings of the 15th international conference on Multimedia
VideoSense: towards effective online video advertising
Proceedings of the 15th international conference on Multimedia
Foundations and Trends® in Networking
Statistical performance modeling and optimization
Foundations and Trends in Electronic Design Automation
MIMO transceiver design via majorization theory
Foundations and Trends in Communications and Information Theory
Majorization and matrix-monotone functions in wireless communications
Foundations and Trends in Communications and Information Theory
Finding the strongly rank-minimizing solution to the linear matrix inequality
Automation and Remote Control
Surrogate maximization/minimization algorithms and extensions
Machine Learning
Wireless Personal Communications: An International Journal
Wireless Personal Communications: An International Journal
Logarithmic regret algorithms for online convex optimization
Machine Learning
A primal-dual perspective of online learning algorithms
Machine Learning
A bilinear formulation for vector sparsity optimization
Signal Processing
Cross-Layer Design of Wireless Multihop Backhaul Networks With Multiantenna Beamforming
IEEE Transactions on Mobile Computing
Minimization of Download Times for Large Files over Wireless Channels
IEEE Transactions on Mobile Computing
Quasiconvex Optimization for Robust Geometric Reconstruction
IEEE Transactions on Pattern Analysis and Machine Intelligence
Optimal chunking of large multidimensional arrays for data warehousing
Proceedings of the ACM tenth international workshop on Data warehousing and OLAP
Opportunistic Encryption: A Trade-Off between Security and Throughput in Wireless Networks
IEEE Transactions on Dependable and Secure Computing
Finding dense and isolated submarkets in a sponsored search spending graph
Proceedings of the sixteenth ACM conference on Conference on information and knowledge management
Rate-lifetime tradeoff for reliable communication in wireless sensor networks
Computer Networks: The International Journal of Computer and Telecommunications Networking
A probabilistic analytic center cutting plane method for feasibility of uncertain LMIs
Automatica (Journal of IFAC)
Design of smallest size two-dimensional linear-phase FIR filters with magnitude error constraint
Multidimensional Systems and Signal Processing
A general framework for modeling and processing optimization queries
VLDB '07 Proceedings of the 33rd international conference on Very large data bases
Gate sizing by Lagrangian relaxation revisited
Proceedings of the 2007 IEEE/ACM international conference on Computer-aided design
An efficient algorithm for statistical circuit optimization using Lagrangian relaxation
Proceedings of the 2007 IEEE/ACM international conference on Computer-aided design
Device-circuit co-optimization for mixed-mode circuit design via geometric programming
Proceedings of the 2007 IEEE/ACM international conference on Computer-aided design
A globally optimal algorithm for TTD-MDPs
Proceedings of the 6th international joint conference on Autonomous agents and multiagent systems
Signal Processing
Applications of the SRV constraint in broadband pattern synthesis
Signal Processing
A Novel Pose Estimation Algorithm Based on Points to Regions Correspondence
Journal of Mathematical Imaging and Vision
Distributed antenna channels with regenerative relaying: relay selection and asymptotic capacity
EURASIP Journal on Wireless Communications and Networking
Global parametric image alignment via high-order approximation
Computer Vision and Image Understanding
Simulation of discrete linear time-invariant fuzzy dynamic systems
Fuzzy Sets and Systems
Network optimization and control
Foundations and Trends® in Networking
Proceedings of the 2nd international conference on Performance evaluation methodologies and tools
Learning to Recognize Objects with Little Supervision
International Journal of Computer Vision
Sampling algorithms and coresets for ℓp regression
Proceedings of the nineteenth annual ACM-SIAM symposium on Discrete algorithms
From TV to online advertising: recent experience from the Spanish media
Proceedings of the 1st international workshop on Data mining and audience intelligence for advertising
Maximum overlap and minimum convex hull of two convex polyhedra under translations
Computational Geometry: Theory and Applications
Robust gate sizing via mean excess delay minimization
Proceedings of the 2008 international symposium on Physical design
Musculotendon simulation for hand animation
ACM SIGGRAPH 2008 papers
A new large-update interior point algorithm for P*(κ) linear complementarity problems
Journal of Computational and Applied Mathematics
Fault-tolerant control of a distributed database system
Journal of Control Science and Engineering - Robustness Issues in Fault Diagnosis and Fault Tolerant Control
Minimization of the root of a quadratic functional under an affine equality constraint
Journal of Computational and Applied Mathematics
QoS-aware fair rate allocation in wireless mesh networks
Computer Communications
Computational receding horizon approach to safe trajectory tracking
Integrated Computer-Aided Engineering
Wireless mesh networks based on CDMA
Computer Communications
A framework of cross-layer design for multiple video streams in wireless mesh networks
Computer Communications
Proceedings of the 17th international conference on World Wide Web
Reformulating the monitor placement problem: optimal network-wide sampling
CoNEXT '06 Proceedings of the 2006 ACM CoNEXT conference
Optimal design of high density 802.11 WLANs
CoNEXT '06 Proceedings of the 2006 ACM CoNEXT conference
Learning symmetric causal independence models
Machine Learning
On reoptimizing multi-class classifiers
Machine Learning
Pareto Frontiers of Sensor Networks for Localization
IPSN '08 Proceedings of the 7th international conference on Information processing in sensor networks
Alert: An Adaptive Low-Latency Event-Driven MAC Protocol for Wireless Sensor Networks
IPSN '08 Proceedings of the 7th international conference on Information processing in sensor networks
Distributed rate allocation for inelastic flows
IEEE/ACM Transactions on Networking (TON)
Modeling and optimization of transmission schemes in energy-constrained wireless sensor networks
IEEE/ACM Transactions on Networking (TON)
Algorithms for subset selection in linear regression
STOC '08 Proceedings of the fortieth annual ACM symposium on Theory of computing
Optimal sampling in state space models with applications to network monitoring
SIGMETRICS '08 Proceedings of the 2008 ACM SIGMETRICS international conference on Measurement and modeling of computer systems
Robust and sustainable schedulability analysis of embedded software
Proceedings of the 2008 ACM SIGPLAN-SIGBED conference on Languages, compilers, and tools for embedded systems
Energy-constrained optimal quantization for wireless sensor networks
EURASIP Journal on Advances in Signal Processing
Feedback reduction in uplink MIMO OFDM systems by chunk optimization
EURASIP Journal on Advances in Signal Processing
Time-division multiuser MIMO with statistical feedback
EURASIP Journal on Advances in Signal Processing
An approach to QoS aware resource scheduling in data stream systems
SSPS '08 Proceedings of the 2nd international workshop on Scalable stream processing system
Nonnegative matrix factorization with quadratic programming
Neurocomputing
An adaptive penalized maximum likelihood algorithm
Signal Processing
Practical Global Optimization for Multiview Geometry
International Journal of Computer Vision
Developing a generalizable detector of when students game the system
User Modeling and User-Adapted Interaction
Computer Networks: The International Journal of Computer and Telecommunications Networking
Surrogate maximization/minimization algorithms and extensions
Machine Learning
Guaranteed performance region in fading orthogonal space-time coded broadcast channels
EURASIP Journal on Wireless Communications and Networking - Theory and Applications in Multiuser/Multiterminal Communications
Duplex schemes in multiple antenna two-hop relaying
EURASIP Journal on Advances in Signal Processing
Stable transmission in the time-varying MIMO broadcast channel
EURASIP Journal on Advances in Signal Processing
Training SVM with indefinite kernels
Proceedings of the 25th international conference on Machine learning
Fast Gaussian process methods for point process intensity estimation
Proceedings of the 25th international conference on Machine learning
Learning to classify with missing and corrupted features
Proceedings of the 25th international conference on Machine learning
Confidence-weighted linear classification
Proceedings of the 25th international conference on Machine learning
Stopping conditions for exact computation of leave-one-out error in support vector machines
Proceedings of the 25th international conference on Machine learning
Pairwise constraint propagation by semidefinite programming for semi-supervised classification
Proceedings of the 25th international conference on Machine learning
A decoupled approach to exemplar-based unsupervised learning
Proceedings of the 25th international conference on Machine learning
Proceedings of the 25th international conference on Machine learning
Fast solvers and efficient implementations for distance metric learning
Proceedings of the 25th international conference on Machine learning
Listwise approach to learning to rank: theory and algorithm
Proceedings of the 25th international conference on Machine learning
The Journal of Machine Learning Research
The Journal of Machine Learning Research
Multi-class Discriminant Kernel Learning via Convex Programming
The Journal of Machine Learning Research
Bayesian Inference and Optimal Design for the Sparse Linear Model
The Journal of Machine Learning Research
Consistency of Trace Norm Minimization
The Journal of Machine Learning Research
Cross-Validation Optimization for Large Scale Structured Classification Kernel Methods
The Journal of Machine Learning Research
Consistency of the Group Lasso and Multiple Kernel Learning
The Journal of Machine Learning Research
On profiling blogs with representative entries
Proceedings of the second workshop on Analytics for noisy unstructured text data
Proceedings of the 45th annual Design Automation Conference
Estimation of the Hyperexponential Density with Applications in Sensor Networks
International Journal of Distributed Sensor Networks
Parabolic target space and primal-dual interior-point methods
Discrete Applied Mathematics
New closed-form bounds on the partition function
Machine Learning
An efficient kernel matrix evaluation measure
Pattern Recognition
Wrapper filtering criteria via linear neuron and kernel approaches
Computers in Biology and Medicine
Conditional minimum volume ellipsoid with application to multiclass discrimination
Computational Optimization and Applications
Learning subspace kernels for classification
Proceedings of the 14th ACM SIGKDD international conference on Knowledge discovery and data mining
Structured metric learning for high dimensional problems
Proceedings of the 14th ACM SIGKDD international conference on Knowledge discovery and data mining
Relational learning via collective matrix factorization
Proceedings of the 14th ACM SIGKDD international conference on Knowledge discovery and data mining
Cuts3vm: a fast semi-supervised svm algorithm
Proceedings of the 14th ACM SIGKDD international conference on Knowledge discovery and data mining
No-regret learning and a mechanism for distributed multiagent planning
Proceedings of the 7th international joint conference on Autonomous agents and multiagent systems - Volume 1
Temperature control of high-performance multi-core platforms using convex optimization
Proceedings of the conference on Design, automation and test in Europe
An improved and automated design tool for the optimization of CMOS OTAs using geometric programming
Proceedings of the 21st annual symposium on Integrated circuits and system design
Correlative multilabel video annotation with temporal kernels
ACM Transactions on Multimedia Computing, Communications, and Applications (TOMCCAP)
Supervised dimensionality reduction via sequential semidefinite programming
Pattern Recognition
Staggered projections for frictional contact in multibody systems
ACM SIGGRAPH Asia 2008 papers
Real world activity recognition with multiple goals
UbiComp '08 Proceedings of the 10th international conference on Ubiquitous computing
Horizon: balancing tcp over multiple paths in wireless mesh network
Proceedings of the 14th ACM international conference on Mobile computing and networking
Real-time tracking for sensor networks via sdp and gradient method
Proceedings of the first ACM international workshop on Mobile entity localization and tracking in GPS-less environments
Positivity, posynomials and tile size selection
Proceedings of the 2008 ACM/IEEE conference on Supercomputing
Improved spectral relaxation methods for binary quadratic optimization problems
Computer Vision and Image Understanding
IMACS'08 Proceedings of the 7th WSEAS International Conference on Instrumentation, Measurement, Circuits and Systems
Cuts for Conic Mixed-Integer Programming
IPCO '07 Proceedings of the 12th international conference on Integer Programming and Combinatorial Optimization
MLDM '07 Proceedings of the 5th international conference on Machine Learning and Data Mining in Pattern Recognition
Semi-definite Manifold Alignment
ECML '07 Proceedings of the 18th European conference on Machine Learning
Complementarity Systems in Constrained Steady-State Optimal Control
HSCC '08 Proceedings of the 11th international workshop on Hybrid Systems: Computation and Control
On Optimal Quadratic Regulation for Discrete-Time Switched Linear Systems
HSCC '08 Proceedings of the 11th international workshop on Hybrid Systems: Computation and Control
Speed Scaling with a Solar Cell
AAIM '08 Proceedings of the 4th international conference on Algorithmic Aspects in Information and Management
ITS '08 Proceedings of the 9th international conference on Intelligent Tutoring Systems
Cost-Based Domain Filtering for Stochastic Constraint Programming
CP '08 Proceedings of the 14th international conference on Principles and Practice of Constraint Programming
Tight Optimistic Estimates for Fast Subgroup Discovery
ECML PKDD '08 Proceedings of the 2008 European Conference on Machine Learning and Knowledge Discovery in Databases - Part I
A Unified View of Matrix Factorization Models
ECML PKDD '08 Proceedings of the European conference on Machine Learning and Knowledge Discovery in Databases - Part II
Clustering Via Local Regression
ECML PKDD '08 Proceedings of the European conference on Machine Learning and Knowledge Discovery in Databases - Part II
Semi-supervised Discriminant Analysis Via CCCP
ECML PKDD '08 Proceedings of the European conference on Machine Learning and Knowledge Discovery in Databases - Part II
Concavely-Priced Timed Automata
FORMATS '08 Proceedings of the 6th international conference on Formal Modeling and Analysis of Timed Systems
MR Brain Tissue Classification Using an Edge-Preserving Spatially Variant Bayesian Mixture Model
MICCAI '08 Proceedings of the 11th international conference on Medical Image Computing and Computer-Assisted Intervention - Part I
ALT '08 Proceedings of the 19th international conference on Algorithmic Learning Theory
Kernel Matrix Learning for One-Class Classification
ISNN '08 Proceedings of the 5th international symposium on Neural Networks: Advances in Neural Networks
Optimal Solutions for Sparse Principal Component Analysis
The Journal of Machine Learning Research
System-level mitigation of WID leakage power variability using body-bias islands
CODES+ISSS '08 Proceedings of the 6th IEEE/ACM/IFIP international conference on Hardware/Software codesign and system synthesis
Covariance selection for nonchordal graphs via chordal embedding
Optimization Methods & Software - Mathematical programming in data mining and machine learning
Analytical study of migration-enhanced fault tolerance for long-running applications in IFR systems
International Journal of Parallel, Emergent and Distributed Systems
Power Control in Wireless Cellular Networks
Foundations and Trends® in Networking
Rethinking Biased Estimation: Improving Maximum Likelihood and the Cramér–Rao Bound
Foundations and Trends in Signal Processing
Shape from inconsistent silhouette
Computer Vision and Image Understanding
A geometric characterization of "optimality-equivalent" relaxations
Journal of Global Optimization
Convex multi-task feature learning
Machine Learning
Distributed power control and random access for spectrum sharing with QoS constraint
Computer Communications
Semi-supervised ranking aggregation
Proceedings of the 17th ACM conference on Information and knowledge management
Metric-based ontology learning
Proceedings of the 2nd international workshop on Ontologies and information systems for the semantic web
Robust measurement selection for biochemical pathway experimental design
International Journal of Bioinformatics Research and Applications
Near-duplicate keyframe retrieval by nonrigid image matching
MM '08 Proceedings of the 16th ACM international conference on Multimedia
Locality condensation: a new dimensionality reduction method for image retrieval
MM '08 Proceedings of the 16th ACM international conference on Multimedia
Contextual in-image advertising
MM '08 Proceedings of the 16th ACM international conference on Multimedia
The impact of capture on multihop wireless networks in an optimal rate control framework
WICON '07 Proceedings of the 3rd international conference on Wireless internet
Scheduler design for heterogeneous traffic in cellular networks with multiple channels
WICON '07 Proceedings of the 3rd international conference on Wireless internet
Collaborative learning for image and video annotation
MIR '08 Proceedings of the 1st ACM international conference on Multimedia information retrieval
NAWMS: nonintrusive autonomous water monitoring system
Proceedings of the 6th ACM conference on Embedded network sensor systems
Computing sum of squares decompositions with rational coefficients
Theoretical Computer Science
End-to-end DWDM optical link power-control via a Stackelberg revenue-maximizing model
International Journal of Network Management
Variability driven gate sizing for binning yield optimization
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Robust linear MIMO in the downlink: a worst-case optimization with ellipsoidal uncertainty regions
EURASIP Journal on Advances in Signal Processing
Throughput of cellular systems with conferencing mobiles and cooperative base stations
EURASIP Journal on Wireless Communications and Networking - Theory and Applications in Multiuser/Multiterminal Communications
Using tomography for ubiquitous sensing
Proceedings of the 16th ACM SIGSPATIAL international conference on Advances in geographic information systems
A method for generating a well-distributed Pareto set in nonlinear multiobjective optimization
Journal of Computational and Applied Mathematics
Sparse Structures in L-Infinity Norm Minimization for Structure and Motion Reconstruction
ECCV '08 Proceedings of the 10th European Conference on Computer Vision: Part I
Nonparallel plane proximal classifier
Signal Processing
Self-stabilizing Numerical Iterative Computation
SSS '08 Proceedings of the 10th International Symposium on Stabilization, Safety, and Security of Distributed Systems
Sum Throughput Enhancements in Quality of Service Constrained Multiuser MIMO OFDM Systems
Wireless Personal Communications: An International Journal
Local Metric Learning on Manifolds with Application to Query---Based Operations
SSPR & SPR '08 Proceedings of the 2008 Joint IAPR International Workshop on Structural, Syntactic, and Statistical Pattern Recognition
A New Global Alignment Method for Feature Based Image Mosaicing
ISVC '08 Proceedings of the 4th International Symposium on Advances in Visual Computing, Part II
Non-negative Sparse Principal Component Analysis for Multidimensional Constrained Optimization
PRICAI '08 Proceedings of the 10th Pacific Rim International Conference on Artificial Intelligence: Trends in Artificial Intelligence
Clustering with Feature Order Preferences
PRICAI '08 Proceedings of the 10th Pacific Rim International Conference on Artificial Intelligence: Trends in Artificial Intelligence
Semi-analytical method for analyzing models and model selection measures based on moment analysis
ACM Transactions on Knowledge Discovery from Data (TKDD)
Graphical Models, Exponential Families, and Variational Inference
Foundations and Trends® in Machine Learning
Outlier Removal by Convex Optimization for L-Infinity Approaches
PSIVT '09 Proceedings of the 3rd Pacific Rim Symposium on Advances in Image and Video Technology
Surface Reconstruction via L1-Minimization
Numerical Analysis and Its Applications
Semisupervised SVM batch mode active learning with applications to image retrieval
ACM Transactions on Information Systems (TOIS)
Efficient block-based parameterized timing analysis covering all potentially critical paths
Proceedings of the 2008 IEEE/ACM International Conference on Computer-Aided Design
Proceedings of the 2008 IEEE/ACM International Conference on Computer-Aided Design
Proceedings of the 2008 IEEE/ACM International Conference on Computer-Aided Design
Synthesis of networks on chips for 3D systems on chips
Proceedings of the 2009 Asia and South Pacific Design Automation Conference
Relaxed maximum a posteriori fault identification
Signal Processing
Optimal and distributed protocols for cross-layer design of physical and transport layers in MANETs
IEEE/ACM Transactions on Networking (TON)
Distributed uplink power control for optimal sir assignment in cellular data networks
IEEE/ACM Transactions on Networking (TON)
Capacity management and equilibrium for proportional QoS
IEEE/ACM Transactions on Networking (TON)
Performance optimization of interference-limited multihop networks
IEEE/ACM Transactions on Networking (TON)
Robust interconnect communication capacity algorithm by geometric programming
Proceedings of the 2009 international symposium on Physical design
Static and dynamic temperature-aware scheduling for multiprocessor SoCs
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Optimizing portfolio tail measures: asymptotics and efficient simulation optimization
Proceedings of the 40th Conference on Winter Simulation
Gaussian kernel optimization for pattern classification
Pattern Recognition
Shortest descending paths through given faces
Computational Geometry: Theory and Applications
Transistor Sizing of LCD Driver Circuit for Technology Migration
IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences
Acoustic sensor network design for position estimation
ACM Transactions on Sensor Networks (TOSN)
A gaussian random field model of smooth fitness landscapes
Proceedings of the tenth ACM SIGEVO workshop on Foundations of genetic algorithms
Network Lifetime Optimization by KKT Optimality Conditions in Wireless Sensor Networks
Wireless Personal Communications: An International Journal
A robust and tractable contact model for dynamic robotic simulation
Proceedings of the 2009 ACM symposium on Applied Computing
Estimating Squared-Loss Mutual Information for Independent Component Analysis
ICA '09 Proceedings of the 8th International Conference on Independent Component Analysis and Signal Separation
Regularized Local Reconstruction for Clustering
PAKDD '09 Proceedings of the 13th Pacific-Asia Conference on Advances in Knowledge Discovery and Data Mining
Generalized Pattern Search methods for a class of nonsmooth optimization problems with structure
Journal of Computational and Applied Mathematics
Energy-efficient power/rate control and scheduling in hybrid TDMA/CDMA wireless sensor networks
Computer Networks: The International Journal of Computer and Telecommunications Networking
Proceedings of the 5th International ICST Conference on Heterogeneous Networking for Quality, Reliability, Security and Robustness
Joint congestion control, contention control and resource allocation in wireless networks
Proceedings of the 5th International ICST Conference on Heterogeneous Networking for Quality, Reliability, Security and Robustness
A Conic Duality Frank--Wolfe-Type Theorem via Exact Penalization in Quadratic Optimization
Mathematics of Operations Research
On the convergence of regret minimization dynamics in concave games
Proceedings of the forty-first annual ACM symposium on Theory of computing
A game-theoretic approach to decentralized optimal power allocation for cellular networks
Proceedings of the 3rd International Conference on Performance Evaluation Methodologies and Tools
Load balancing in processor sharing systems
Proceedings of the 3rd International Conference on Performance Evaluation Methodologies and Tools
Distributed resource allocation algorithms for peer-to-peer networks
Proceedings of the 3rd International Conference on Performance Evaluation Methodologies and Tools
Local Identification of Piecewise Deterministic Models of Genetic Networks
HSCC '09 Proceedings of the 12th International Conference on Hybrid Systems: Computation and Control
Stabilization of Discrete-Time Switched Linear Systems: A Control-Lyapunov Function Approach
HSCC '09 Proceedings of the 12th International Conference on Hybrid Systems: Computation and Control
EURASIP Journal on Advances in Signal Processing
Entropy-maximization based adaptive frequency hopping for wireless medical telemetry systems
Proceedings of the 1st ACM international workshop on Medical-grade wireless networks
Cutting-set methods for robust convex optimization with pessimizing oracles
Optimization Methods & Software
A primal-dual interior point method for nonlinear optimization over second-order cones
Optimization Methods & Software
Shape-Based Object Localization for Descriptive Classification
International Journal of Computer Vision
Cross-Layer Design for Relay Selection and Power Allocation Strategies in Cooperative Networks
CNSR '09 Proceedings of the 2009 Seventh Annual Communication Networks and Services Research Conference
The design trade-offs of BitTorrent-like file sharing protocols
IEEE/ACM Transactions on Networking (TON)
Importance weighted active learning
ICML '09 Proceedings of the 26th Annual International Conference on Machine Learning
Optimized expected information gain for nonlinear dynamical systems
ICML '09 Proceedings of the 26th Annual International Conference on Machine Learning
A convex formulation for learning shared structures from multiple tasks
ICML '09 Proceedings of the 26th Annual International Conference on Machine Learning
Learning kernels from indefinite similarities
ICML '09 Proceedings of the 26th Annual International Conference on Machine Learning
Efficient Euclidean projections in linear time
ICML '09 Proceedings of the 26th Annual International Conference on Machine Learning
SimpleNPKL: simple non-parametric kernel learning
ICML '09 Proceedings of the 26th Annual International Conference on Machine Learning
On minimum sensing error with spectrum sensing using counting rule in cognitive radio networks
Proceedings of the 4th Annual International Conference on Wireless Internet
A POMDP framework for coordinated guidance of autonomous UAVs for multitarget tracking
EURASIP Journal on Advances in Signal Processing - Special issue on signal processing advances in robots and autonomy
CDIT-based constrained resource allocation for mobile WiMAX systems
EURASIP Journal on Wireless Communications and Networking - Special issue on fairness in radio resource management for wireless networks
EURASIP Journal on Wireless Communications and Networking - Special issue on fairness in radio resource management for wireless networks
Proceedings of the 15th ACM SIGKDD international conference on Knowledge discovery and data mining
Finding a team of experts in social networks
Proceedings of the 15th ACM SIGKDD international conference on Knowledge discovery and data mining
Improving data mining utility with projective sampling
Proceedings of the 15th ACM SIGKDD international conference on Knowledge discovery and data mining
Large-scale sparse logistic regression
Proceedings of the 15th ACM SIGKDD international conference on Knowledge discovery and data mining
Information theoretic regularization for semi-supervised boosting
Proceedings of the 15th ACM SIGKDD international conference on Knowledge discovery and data mining
Primal sparse Max-margin Markov networks
Proceedings of the 15th ACM SIGKDD international conference on Knowledge discovery and data mining
Information Sciences: an International Journal
Distributing content updates over a mobile social network
ACM SIGMOBILE Mobile Computing and Communications Review
Large-scale uncertainty management systems: learning and exploiting your data
Proceedings of the 2009 ACM SIGMOD International Conference on Management of data
RECOMB 2'09 Proceedings of the 13th Annual International Conference on Research in Computational Molecular Biology
Learning Kernel Matrix from Gene Ontology and Annotation Data for Protein Function Prediction
ISNN 2009 Proceedings of the 6th International Symposium on Neural Networks: Advances in Neural Networks - Part III
Analysis of shortest path routing for large multi-hop wireless networks
IEEE/ACM Transactions on Networking (TON)
Energy-robustness tradeoff in cellular network power control
IEEE/ACM Transactions on Networking (TON)
Portfolio theory of information retrieval
Proceedings of the 32nd international ACM SIGIR conference on Research and development in information retrieval
On rank correlation and the distance between rankings
Proceedings of the 32nd international ACM SIGIR conference on Research and development in information retrieval
Match Selection in Batch Mosaicing Using Mutual Information
IbPRIA '09 Proceedings of the 4th Iberian Conference on Pattern Recognition and Image Analysis
Image Computation for Polynomial Dynamical Systems Using the Bernstein Expansion
CAV '09 Proceedings of the 21st International Conference on Computer Aided Verification
Reachability Analysis of Hybrid Systems Using Support Functions
CAV '09 Proceedings of the 21st International Conference on Computer Aided Verification
Models of Non-atomic Congestion Games --- From Unicast to Multicast Routing
Algorithmics of Large and Complex Networks
Foundations and Trends® in Networking
Learning Representation and Control in Markov Decision Processes: New Frontiers
Foundations and Trends® in Machine Learning
Spectrum allocation and scheduling in dynamic spectrum access wireless networks
The Fourth International Conference on Heterogeneous Networking for Quality, Reliability, Security and Robustness & Workshops
The Fourth International Conference on Heterogeneous Networking for Quality, Reliability, Security and Robustness & Workshops
Proceedings of the 2009 International Conference on Wireless Communications and Mobile Computing: Connecting the World Wirelessly
Proceedings of the 2009 International Conference on Wireless Communications and Mobile Computing: Connecting the World Wirelessly
Multiple-antenna multiple-relay system with precoding for multiuser transmission
Proceedings of the 2009 International Conference on Wireless Communications and Mobile Computing: Connecting the World Wirelessly
Power allocation and distributed beamforming optimization in relay-assisted multiuser communications
Proceedings of the 2009 International Conference on Wireless Communications and Mobile Computing: Connecting the World Wirelessly
Proceedings of the 2009 International Conference on Wireless Communications and Mobile Computing: Connecting the World Wirelessly
EURASIP Journal on Wireless Communications and Networking - Special issue on optimization techniques in wireless communications
Fair adaptive bandwidth and subchannel allocation in the WiMAX uplink
EURASIP Journal on Wireless Communications and Networking - Special issue on fairness in radio resource management for wireless networks
Multiuser resource allocation maximizing the perceived quality
EURASIP Journal on Wireless Communications and Networking - Special issue on OFDMA architectures, protocols, and applications
A cross-layer design for congestion control in UWB-based wireless sensor networks
International Journal of Sensor Networks
OR Practice---Training Optimization for the Decathlon
Operations Research
Compact, convex upper bound iteration for approximate POMDP planning
AAAI'06 proceedings of the 21st national conference on Artificial intelligence - Volume 2
EfficientL1regularized logistic regression
AAAI'06 Proceedings of the 21st national conference on Artificial intelligence - Volume 1
Robust support vector machine training via convex outlier ablation
AAAI'06 Proceedings of the 21st national conference on Artificial intelligence - Volume 1
An efficient algorithm for local distance metric learning
AAAI'06 Proceedings of the 21st national conference on Artificial intelligence - Volume 1
A p-cone sequential relaxation procedure for 0-1 integer programs
Optimization Methods & Software - GLOBAL OPTIMIZATION
Optimization Methods & Software - GLOBAL OPTIMIZATION
Large margin nearest local mean classifier
Signal Processing
A Convex Approach to Low Rank Matrix Approximation with Missing Data
SCIA '09 Proceedings of the 16th Scandinavian Conference on Image Analysis
A merged RF CMOS LNA-Mixer design using geometric programming
Proceedings of the 22nd Annual Symposium on Integrated Circuits and System Design: Chip on the Dunes
On Fixed Convex Combinations of No-Regret Learners
MLDM '09 Proceedings of the 6th International Conference on Machine Learning and Data Mining in Pattern Recognition
Peer-to-peer estimation over wireless sensor networks via Lipschitz optimization
IPSN '09 Proceedings of the 2009 International Conference on Information Processing in Sensor Networks
Journal on Image and Video Processing - Special issue on distributed video coding
Robustness of temporal logic specifications for continuous-time signals
Theoretical Computer Science
Concavely-Priced Probabilistic Timed Automata
CONCUR 2009 Proceedings of the 20th International Conference on Concurrency Theory
PRIB '09 Proceedings of the 4th IAPR International Conference on Pattern Recognition in Bioinformatics
Class Prediction from Disparate Biological Data Sources Using an Iterative Multi-Kernel Algorithm
PRIB '09 Proceedings of the 4th IAPR International Conference on Pattern Recognition in Bioinformatics
An Optimal Approach to Collaborative Target Tracking with Performance Guarantees
Journal of Intelligent and Robotic Systems
On the efficiency of distributed spectrum sensing in ad-hoc cognitive radio networks
Proceedings of the 2009 ACM workshop on Cognitive radio networks
CADE-22 Proceedings of the 22nd International Conference on Automated Deduction
Nonnegative Mixed-Norm Preconditioning for Microscopy Image Segmentation
IPMI '09 Proceedings of the 21st International Conference on Information Processing in Medical Imaging
Feature Weighting Using Margin and Radius Based Error Bound Optimization in SVMs
ECML PKDD '09 Proceedings of the European Conference on Machine Learning and Knowledge Discovery in Databases: Part I
Margin and Radius Based Multiple Kernel Learning
ECML PKDD '09 Proceedings of the European Conference on Machine Learning and Knowledge Discovery in Databases: Part I
Transductive Classification via Dual Regularization
ECML PKDD '09 Proceedings of the European Conference on Machine Learning and Knowledge Discovery in Databases: Part I
Feature Selection for Density Level-Sets
ECML PKDD '09 Proceedings of the European Conference on Machine Learning and Knowledge Discovery in Databases: Part I
Quantum error correction via convex optimization
Quantum Information Processing
Non-negative mixed finite element formulations for a tensorial diffusion equation
Journal of Computational Physics
AAAI'05 Proceedings of the 20th national conference on Artificial intelligence - Volume 2
Unsupervised and semi-supervised multi-class support vector machines
AAAI'05 Proceedings of the 20th national conference on Artificial intelligence - Volume 2
A method for large-scale l1-regularized logistic regression
AAAI'07 Proceedings of the 22nd national conference on Artificial intelligence - Volume 1
Semi-supervised learning by mixed label propagation
AAAI'07 Proceedings of the 22nd national conference on Artificial intelligence - Volume 1
A* search via approximate factoring
AAAI'07 Proceedings of the 22nd national conference on Artificial intelligence - Volume 2
Distance metric learning vs. Fisher discriminant analysis
AAAI'08 Proceedings of the 23rd national conference on Artificial intelligence - Volume 2
A utility-theoretic approach to privacy and personalization
AAAI'08 Proceedings of the 23rd national conference on Artificial intelligence - Volume 2
Transferring localization models across space
AAAI'08 Proceedings of the 23rd national conference on Artificial intelligence - Volume 3
ViridiScope: design and implementation of a fine grained power monitoring system for homes
Proceedings of the 11th international conference on Ubiquitous computing
Improving embeddings by flexible exploitation of side information
IJCAI'07 Proceedings of the 20th international joint conference on Artifical intelligence
Generalized additive Bayesian network classifiers
IJCAI'07 Proceedings of the 20th international joint conference on Artifical intelligence
Quality guarantees on k-optimal solutions for distributed constraint optimization problems
IJCAI'07 Proceedings of the 20th international joint conference on Artifical intelligence
Speed scaling with a solar cell
Theoretical Computer Science
Proceedings of the 46th Annual Design Automation Conference
Efficient design-specific worst-case corner extraction for integrated circuits
Proceedings of the 46th Annual Design Automation Conference
SRAM parametric failure analysis
Proceedings of the 46th Annual Design Automation Conference
Yield-driven iterative robust circuit optimization algorithm
Proceedings of the 46th Annual Design Automation Conference
Efficient algorithms for genome-wide association study
ACM Transactions on Knowledge Discovery from Data (TKDD)
MM '09 Proceedings of the 17th ACM international conference on Multimedia
Convex experimental design using manifold structure for image retrieval
MM '09 Proceedings of the 17th ACM international conference on Multimedia
Privacy-Enabled Object Tracking in Video Sequences Using Compressive Sensing
AVSS '09 Proceedings of the 2009 Sixth IEEE International Conference on Advanced Video and Signal Based Surveillance
Kernel Methods in Computer Vision
Foundations and Trends® in Computer Graphics and Vision
Optimal value of information in graphical models
Journal of Artificial Intelligence Research
EURASIP Journal on Wireless Communications and Networking - Special issue on cooperative communications in wireless networks
Bargaining and the MISO interference channel
EURASIP Journal on Advances in Signal Processing - Special issue on game theory in signal processing and communications
EURASIP Journal on Advances in Signal Processing - Special issue on game theory in signal processing and communications
Simultaneous MAP-based video denoising and rate-distortion optimized video encoding
IEEE Transactions on Circuits and Systems for Video Technology
Distributed algorithms for network lifetime maximization in wireless visual sensor networks
IEEE Transactions on Circuits and Systems for Video Technology
Optimized video multicasting over wireless ad hoc networks using distributed algorithm
IEEE Transactions on Circuits and Systems for Video Technology
Informationally decentralized system resource management for multiple multimedia tasks
IEEE Transactions on Circuits and Systems for Video Technology
TCP performance optimization in multi-cell wireless local area networks
Proceedings of the 12th ACM international conference on Modeling, analysis and simulation of wireless and mobile systems
Rate control for delay-sensitive traffic in multihop wireless networks
Proceedings of the 4th ACM workshop on Performance monitoring and measurement of heterogeneous wireless and wired networks
QoS constrained resource allocation to secondary users in cognitive radio networks
Computer Communications
Adaptive power allocation with quality-of-service guarantee in cognitive radio networks
Computer Communications
Reducing the risk of query expansion via robust constrained optimization
Proceedings of the 18th ACM conference on Information and knowledge management
Subspace maximum margin clustering
Proceedings of the 18th ACM conference on Information and knowledge management
ROSE: retail outlet site evaluation by learning with both sample and feature preference
Proceedings of the 18th ACM conference on Information and knowledge management
Optimal beamforming for two-way multi-antenna relay channel with analogue network coding
IEEE Journal on Selected Areas in Communications - Special issue on network coding for wireless communication networks
On asymptotic ensemble weight enumerators of LDPC-like codes
IEEE Journal on Selected Areas in Communications - Special issue on capaciyy approaching codes
Resource allocation for non-real-time services in OFDM-based cognitive radio systems
IEEE Communications Letters
Symbol-based processing with balanced subcarrier performance for MIMO-OFDM systems
IEEE Communications Letters
On optimal and near-optimal turbo decoding using generalized max* operator
IEEE Communications Letters
IEEE Communications Letters
IEEE Transactions on Communications
IEEE Transactions on Communications
Efficient power allocation for coded OFDM systems
IEEE Transactions on Communications
Iterative power pricing for distributed spectrum coordination in DSL
IEEE Transactions on Communications
Combining beamforming and space-time coding using noisy quantized feedback
IEEE Transactions on Communications
Effects of non-identical rayleigh fading on differential unitary space-time modulation
IEEE Transactions on Communications
Rate balancing in multiuser MIMO OFDM systems
IEEE Transactions on Communications
Fair resource allocation for the Gaussian broadcast channel with ISI
IEEE Transactions on Communications
Optimal decoding and performance analysis of a noisy channel network with network coding
IEEE Transactions on Communications
Maximizing the effective capacity for wireless cooperative relay networks with QoS guarantees
IEEE Transactions on Communications
IEEE Transactions on Communications
IEEE Transactions on Communications
Distribllted throughput maximization in P2P VoD applications
IEEE Transactions on Multimedia - Special section on communities and media computing
IEEE Transactions on Multimedia
IEEE Transactions on Multimedia
Multiuser rate allocation games for multimedia communications
IEEE Transactions on Multimedia - Special issue on quality-driven cross-layer design for multimedia communications
Distributed detection in the presence of Byzantine attacks
IEEE Transactions on Signal Processing
On source transmission over MIMO channels with limited feedback
IEEE Transactions on Signal Processing
Polynomial filtering for fast convergence in distributed consensus
IEEE Transactions on Signal Processing
Spectral analysis of nouniformly sampled data: a new approach versus the periodogram
IEEE Transactions on Signal Processing
Optimal estimation of deterioration from diagnostic image sequence
IEEE Transactions on Signal Processing
Optimal multiband joint detection for spectrum sensing in cognitive radio networks
IEEE Transactions on Signal Processing
MIMO minimum total MSE transceiver design with imperfect CSI at both ends
IEEE Transactions on Signal Processing
IEEE Transactions on Signal Processing
IEEE Transactions on Signal Processing
Optimal noise benefits in Neyman-Pearson and inequality-constrained statistical signal detection
IEEE Transactions on Signal Processing
IEEE Transactions on Signal Processing
Multiantenna bidirectional broadcast channels: optimal transmit strategies
IEEE Transactions on Signal Processing
Robust adaptive beamformers based on worst-case optimization and constraints on magnitude response
IEEE Transactions on Signal Processing
A compressive sensing data acquisition and imaging method for stepped frequency GPRs
IEEE Transactions on Signal Processing
An adaptive projected subgradient approach to learning in diffusion networks
IEEE Transactions on Signal Processing
IEEE Transactions on Signal Processing
IEEE Transactions on Signal Processing
Fair-rate allocation in multiuser OFDM-SDMA networks
IEEE Transactions on Signal Processing
Optimal resource allocation in relay-assisted cellular networks with partial CSI
IEEE Transactions on Signal Processing
MIMO radar waveform optimization with prior information of the extended target and clutter
IEEE Transactions on Signal Processing
Robust transceiver optimization in downlink multiuser MIMO systems
IEEE Transactions on Signal Processing
On the Gaussian MIMO relay channel with full channel state information
IEEE Transactions on Signal Processing
IEEE Transactions on Signal Processing
Adaptive mode- and diversity-control for video transmission on MIMO wireless channels
IEEE Transactions on Signal Processing
Conjectural equilibrium in multiuser power control games
IEEE Transactions on Signal Processing
Dynamic resource management for cognitive radios using limited-rate feedback
IEEE Transactions on Signal Processing
Worst-case robust MIMO transmission with imperfect channel knowledge
IEEE Transactions on Signal Processing
Robust collaborative-relay beamforming
IEEE Transactions on Signal Processing
Weighted cross-layer cooperative beamforming for wireless networks
IEEE Transactions on Signal Processing
Notes on the tightness of the hybrid Cramér-Rao lower bound
IEEE Transactions on Signal Processing
A context quantization approach to universal denoising
IEEE Transactions on Signal Processing
On the convergence of ICA algorithms with symmetric orthogonalization
IEEE Transactions on Signal Processing
Blind channel estimation in orthogonally coded MIMO-OFDM systems: a semidefinite relaxation approach
IEEE Transactions on Signal Processing
Normalized incremental subgradient algorithm and its application
IEEE Transactions on Signal Processing
Design guidelines for training-based MIMO systems with feedback
IEEE Transactions on Signal Processing
Joint transmitter and receiver polarization optimization for scattering estimation in clutter
IEEE Transactions on Signal Processing
Joint optimization of a dynamic queue scheduling scheme for the provision of QoS in WLAN
Proceedings of the 5th International ICST Mobile Multimedia Communications Conference
Adaptive detection and estimation in the presence of useful signal and interference mismatches
IEEE Transactions on Signal Processing
Sensor selection via convex optimization
IEEE Transactions on Signal Processing
Optimal polarized beampattern synthesis using a vector antenna array
IEEE Transactions on Signal Processing
Rate-constrained collaborative noise reduction for wireless hearing aids
IEEE Transactions on Signal Processing
Robust QoS-constrained optimization of downlink multiuser MISO systems
IEEE Transactions on Signal Processing
Joint beamforming and power control for multiantenna relay broadcast channel with QoS constraints
IEEE Transactions on Signal Processing
IEEE Transactions on Signal Processing
Outage probability-based power and time optimization for relay networks
IEEE Transactions on Signal Processing
IEEE Transactions on Signal Processing
Catching elephants with mice: Sparse sampling for monitoring sensor networks
ACM Transactions on Sensor Networks (TOSN)
Distributed algorithm for node localization in wireless ad-hoc networks
ACM Transactions on Sensor Networks (TOSN)
Fast communication: Mixed linear system estimation and identification
Signal Processing
Heuristic Kalman algorithm for solving optimization problems
IEEE Transactions on Systems, Man, and Cybernetics, Part B: Cybernetics
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
Low-power fanout optimization using multi threshold voltages and multi channel lengths
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
Synthesis of distributed phase-locked oscillators
IEEE Transactions on Circuits and Systems Part I: Regular Papers
Optimal spread spectrum watermark embedding via a multistep feasibility formulation
IEEE Transactions on Image Processing
Processor speed control with thermal constraints
IEEE Transactions on Circuits and Systems Part I: Regular Papers
Adaptive semiblind calibration of bandwidth mismatch for two-channel time-interleaved ADCs
IEEE Transactions on Circuits and Systems Part I: Regular Papers
IIR digital filter design with new stability constraint based on argument principle
IEEE Transactions on Circuits and Systems Part I: Regular Papers
Maximum margin clustering made practical
IEEE Transactions on Neural Networks
Connectivity-aware network maintenance and repair via relays deployment
IEEE Transactions on Wireless Communications
Pilot design for OFDM with null edge subcarriers
IEEE Transactions on Wireless Communications
IEEE Transactions on Wireless Communications
Feedback reduction scheme for downlink multiuser diversit
IEEE Transactions on Wireless Communications
IEEE Transactions on Wireless Communications
Utility-optimal random access: reduced complexity, fast convergence, and robust performance
IEEE Transactions on Wireless Communications
On rate-optimal MIMO signalling with mean and covariance feedback
IEEE Transactions on Wireless Communications
IEEE Transactions on Wireless Communications
A novel unequal error protection (UEP) scheme using D-STTD for multicast service
IEEE Transactions on Wireless Communications
Minimum energy coding in CDMA wireless sensor networks
IEEE Transactions on Wireless Communications
Energy-efficient scheduling of delay constrained traffic over fading channels
IEEE Transactions on Wireless Communications
Networked MIMO with clustered linear precoding
IEEE Transactions on Wireless Communications
IEEE Transactions on Wireless Communications
Cognitive radio game for secondary spectrum access problem
IEEE Transactions on Wireless Communications
Adaptive resource allocation for multiuser MIMO systems with transmit group MMSE
IEEE Transactions on Wireless Communications
Joint cross-layer scheduling and spectrum sensing for OFDMA cognitive radio systems
IEEE Transactions on Wireless Communications
Tone reservation to reduce the envelope fluctuations of multicarrier signals
IEEE Transactions on Wireless Communications
On service differentiation for multimedia traffic in multi-hop wireless networks
IEEE Transactions on Wireless Communications
Power allocation for block-fading channels with arbitrary input constellations
IEEE Transactions on Wireless Communications
Linear processing and sum throughput in the multiuser MIMO downlink?
IEEE Transactions on Wireless Communications
PHY-aware distributed scheduling for ad hoc communications with physical interference model
IEEE Transactions on Wireless Communications
Adaptive power allocation for regenerative relaying with multiple antennas at the destination
IEEE Transactions on Wireless Communications
IEEE Transactions on Wireless Communications
A new perspective on multi-user power control games in interference channels
IEEE Transactions on Wireless Communications
Weighted sum rate optimization for cognitive radio MIMO broadcast channels
IEEE Transactions on Wireless Communications
IEEE Transactions on Wireless Communications
A game-theoretic approach for distributed power control in interference relay channels
IEEE Transactions on Wireless Communications
Low complexity margin adaptive resource allocation in downlink MIMO-OFDMA system
IEEE Transactions on Wireless Communications
Design and analysis of delay-sensitive cross-layer OFDMA systems with outdated CSIT
IEEE Transactions on Wireless Communications
Weighing strategy for network localization under scarce ranging information
IEEE Transactions on Wireless Communications
Power constrained distributed estimation with cluster-based sensor collaboration
IEEE Transactions on Wireless Communications
IEEE Transactions on Wireless Communications
Robust cognitive beamforming with partial channel state information
IEEE Transactions on Wireless Communications
Admission control and resource allocation in a heterogeneous OFDMA wireless network
IEEE Transactions on Wireless Communications
Analysis of energy efficiency in fading channels under QoS constraints
IEEE Transactions on Wireless Communications
Robust beamforming in the MISO downlink with quadratic channel estimation and optimal training
IEEE Transactions on Wireless Communications
Dynamic spectrum allocation for uplink users with heterogeneous utilities
IEEE Transactions on Wireless Communications
MAPEL: achieving global optimality for a non-convex wireless power control problem
IEEE Transactions on Wireless Communications
IEEE Transactions on Wireless Communications
Magnitude Vector Fitting to interval data
Mathematics and Computers in Simulation
Transmission strategies in MIMO ad hoc networks
EURASIP Journal on Wireless Communications and Networking - Special issue on optimization techniques in wireless communications
Journal of Control Science and Engineering
Local learning regularized nonnegative matrix factorization
IJCAI'09 Proceedings of the 21st international jont conference on Artifical intelligence
Exponential family sparse coding with applications to self-taught learning
IJCAI'09 Proceedings of the 21st international jont conference on Artifical intelligence
Learning the optimal neighborhood kernel for classification
IJCAI'09 Proceedings of the 21st international jont conference on Artifical intelligence
Spectral kernel learning for semi-supervised classification
IJCAI'09 Proceedings of the 21st international jont conference on Artifical intelligence
Streamed learning: one-pass SVMs
IJCAI'09 Proceedings of the 21st international jont conference on Artifical intelligence
Nonmyopic adaptive informative path planning for multiple robots
IJCAI'09 Proceedings of the 21st international jont conference on Artifical intelligence
Optimal flow control for utility-lifetime tradeoff in wireless sensor networks
Computer Networks: The International Journal of Computer and Telecommunications Networking
Nash bargaining and proportional fairness for wireless systems
IEEE/ACM Transactions on Networking (TON)
Optimal sleep/wake scheduling for time-synchronized sensor networks with QoS guarantees
IEEE/ACM Transactions on Networking (TON)
Opportunistic energy-efficient contact probing in delay-tolerant applications
IEEE/ACM Transactions on Networking (TON)
Towards measurable bounds on entanglement measures
Quantum Information Processing
Variational inference for grammar induction with prior knowledge
ACLShort '09 Proceedings of the ACL-IJCNLP 2009 Conference Short Papers
Vector Gaussian multiple description with two levels of receivers
IEEE Transactions on Information Theory
Tracking stopping times through noisy observations
IEEE Transactions on Information Theory
Outer bounds for multiple-access channels with feedback using dependence balance
IEEE Transactions on Information Theory
Restricted risk Bayes linear state estimation
IEEE Transactions on Information Theory
Capacity bounds for the Gaussian interference channel
IEEE Transactions on Information Theory
Optimal constellations for the low-SNR noncoherent MIMO block Rayleigh-fading channel
IEEE Transactions on Information Theory
Information theoretic bounds for compound MIMO Gaussian channels
IEEE Transactions on Information Theory
On the loss of single-letter characterization: the dirty multiple access channel
IEEE Transactions on Information Theory
On maximizing coverage in Gaussian relay channels
IEEE Transactions on Information Theory
On universal properties of capacity-approaching LDPC code ensembles
IEEE Transactions on Information Theory
IEEE Transactions on Information Theory
SCALE: a low-complexity distributed protocol for spectrum balancing in multiuser DSL networks
IEEE Transactions on Information Theory
IEEE Transactions on Information Theory
Statistical eigenmode transmission over jointly correlated MIMO channels
IEEE Transactions on Information Theory
End-to-end energy-bandwidth tradeoff in multihop wireless networks
IEEE Transactions on Information Theory
Linear-programming decoding of nonbinary linear codes
IEEE Transactions on Information Theory
Gate sizing by Lagrangian relaxation revisited
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
Convex relaxations in circuits, systems, and control
IEEE Circuits and Systems Magazine
Finite Element formulation for nonlinear analysis of masonry walls
Computers and Structures
Power allocation strategies for distributed space-time codes in amplify-and-forward mode
EURASIP Journal on Advances in Signal Processing
A simple and optimum geometric decoding algorithm for MIMO systems
ISWPC'09 Proceedings of the 4th international conference on Wireless pervasive computing
A rigorous framework for convergent net weighting schemes in timing-driven placement
Proceedings of the 2009 International Conference on Computer-Aided Design
Proceedings of the 2009 International Conference on Computer-Aided Design
Joint design-time and post-silicon optimization for digitally tuned analog circuits
Proceedings of the 2009 International Conference on Computer-Aided Design
Measure-driven keyword-query expansion
Proceedings of the VLDB Endowment
Improved search for socially annotated data
Proceedings of the VLDB Endowment
A metric-based framework for automatic taxonomy induction
ACL '09 Proceedings of the Joint Conference of the 47th Annual Meeting of the ACL and the 4th International Joint Conference on Natural Language Processing of the AFNLP: Volume 1 - Volume 1
Joint source/relay precoder design in amplify-and-forward relay systems using an MMSE criterion
WCNC'09 Proceedings of the 2009 IEEE conference on Wireless Communications & Networking Conference
SINR balancing with coordinated multi-cell transmission
WCNC'09 Proceedings of the 2009 IEEE conference on Wireless Communications & Networking Conference
Wireless NUM: rate and reliability tradeoffs in random environments
WCNC'09 Proceedings of the 2009 IEEE conference on Wireless Communications & Networking Conference
Beamforming and power control for multi-antenna cognitive two-way relaying
WCNC'09 Proceedings of the 2009 IEEE conference on Wireless Communications & Networking Conference
An efficient relay selection protocol for cooperative wireless sensor networks
WCNC'09 Proceedings of the 2009 IEEE conference on Wireless Communications & Networking Conference
Power loading for multicarrier cognitive radio with MIMO antennas
WCNC'09 Proceedings of the 2009 IEEE conference on Wireless Communications & Networking Conference
WCNC'09 Proceedings of the 2009 IEEE conference on Wireless Communications & Networking Conference
Joint cross-layer scheduling and spectrum sensing for OFDMA cognitive radio systems
WCNC'09 Proceedings of the 2009 IEEE conference on Wireless Communications & Networking Conference
Opportunistic underlay transmission in multi-carrier cognitive radio systems
WCNC'09 Proceedings of the 2009 IEEE conference on Wireless Communications & Networking Conference
WCNC'09 Proceedings of the 2009 IEEE conference on Wireless Communications & Networking Conference
WCNC'09 Proceedings of the 2009 IEEE conference on Wireless Communications & Networking Conference
Resource allocation in multiuser OFDMA system: feasibility and optimization study
WCNC'09 Proceedings of the 2009 IEEE conference on Wireless Communications & Networking Conference
Resource allocation with fairness consideration in OFDMA-based relay networks
WCNC'09 Proceedings of the 2009 IEEE conference on Wireless Communications & Networking Conference
Optimal rate and power allocation in uplink packet CDMA transmission
WCNC'09 Proceedings of the 2009 IEEE conference on Wireless Communications & Networking Conference
WCNC'09 Proceedings of the 2009 IEEE conference on Wireless Communications & Networking Conference
Cost-aware reactive monitoring in resource-constrained wireless sensor networks
WCNC'09 Proceedings of the 2009 IEEE conference on Wireless Communications & Networking Conference
From competition to coopetition: stackelberg equilibrium in multi-user power control games
GameNets'09 Proceedings of the First ICST international conference on Game Theory for Networks
A power allocation strategy using game theory in cognitive radio networks
GameNets'09 Proceedings of the First ICST international conference on Game Theory for Networks
Physical layer security game: how to date a girl with her boyfriend on the same table
GameNets'09 Proceedings of the First ICST international conference on Game Theory for Networks
A game-theoretical approach to incentive design in collaborative intrusion detection network
GameNets'09 Proceedings of the First ICST international conference on Game Theory for Networks
Power control game in protected and shared bands: manipulability of Nash equilibrium
GameNets'09 Proceedings of the First ICST international conference on Game Theory for Networks
Deployment analysis of cooperative OFDM base stations
GameNets'09 Proceedings of the First ICST international conference on Game Theory for Networks
Spectrum sharing games on the interference channel
GameNets'09 Proceedings of the First ICST international conference on Game Theory for Networks
Rate allocation for satellite systems with correlated channels based on a Stackelberg game
GameNets'09 Proceedings of the First ICST international conference on Game Theory for Networks
A reliability framework for visual sensor networks
PCS'09 Proceedings of the 27th conference on Picture Coding Symposium
ISICA '09 Proceedings of the 4th International Symposium on Advances in Computation and Intelligence
A Decompositional Proof Scheme for Automated Convergence Proofs of Stochastic Hybrid Systems
ATVA '09 Proceedings of the 7th International Symposium on Automated Technology for Verification and Analysis
Max-margin Multiple-Instance Learning via Semidefinite Programming
ACML '09 Proceedings of the 1st Asian Conference on Machine Learning: Advances in Machine Learning
Local Model Networks for the Optimization of a Tablet Production Process
AICI '09 Proceedings of the International Conference on Artificial Intelligence and Computational Intelligence
Filter banks for improved LCD motion
Image Communication
Optimization of biochemical systems through mathematical programming: Methods and applications
Computers and Operations Research
Kernel based support vector machine via semidefinite programming: Application to medical diagnosis
Computers and Operations Research
Measuring the utility/path diversity trade off in multipath protocols
Proceedings of the Fourth International ICST Conference on Performance Evaluation Methodologies and Tools
Game-theoretic deployment design of small-cell OFDM networks
Proceedings of the Fourth International ICST Conference on Performance Evaluation Methodologies and Tools
Randomized network coding for UEP video delivery in overlay networks
ICME'09 Proceedings of the 2009 IEEE international conference on Multimedia and Expo
Improving the streaming capacity in P2P VOD systems with helpers
ICME'09 Proceedings of the 2009 IEEE international conference on Multimedia and Expo
Optimal resource allocation for video communication over distributed systems
ICME'09 Proceedings of the 2009 IEEE international conference on Multimedia and Expo
Sparse reconstruction for compressed sensing using stagewise polytope faces pursuit
DSP'09 Proceedings of the 16th international conference on Digital Signal Processing
Compressed sensing of time-varying signals
DSP'09 Proceedings of the 16th international conference on Digital Signal Processing
Optimal gossip algorithm for distributed consensus SVM training in wireless sensor networks
DSP'09 Proceedings of the 16th international conference on Digital Signal Processing
Loss-aware geographic routing for unreliable wireless sensor networks
CCNC'09 Proceedings of the 6th IEEE Conference on Consumer Communications and Networking Conference
Energy-efficient video transmission scheduling for wireless peer-to-peer live streaming
CCNC'09 Proceedings of the 6th IEEE Conference on Consumer Communications and Networking Conference
Optimal spectrum management in multiuser interference channels
ISIT'09 Proceedings of the 2009 IEEE international conference on Symposium on Information Theory - Volume 4
Secure communication in the low-SNR regime: a characterization of the energy-secrecy tradeoff
ISIT'09 Proceedings of the 2009 IEEE international conference on Symposium on Information Theory - Volume 4
On capacity computation for the two-user binary multiple-access channel
ISIT'09 Proceedings of the 2009 IEEE international conference on Symposium on Information Theory - Volume 4
On Gaussian MIMO BC-MAC duality with multiple transmit covariance constraints
ISIT'09 Proceedings of the 2009 IEEE international conference on Symposium on Information Theory - Volume 4
On multiple-input multiple-output Gaussian channels with arbitrary inputs subject to jamming
ISIT'09 Proceedings of the 2009 IEEE international conference on Symposium on Information Theory - Volume 4
MIMO broadcast channel optimization under general linear constraints
ISIT'09 Proceedings of the 2009 IEEE international conference on Symposium on Information Theory - Volume 4
Optimized rate allocation for state estimation over noisy channels
ISIT'09 Proceedings of the 2009 IEEE international conference on Symposium on Information Theory - Volume 4
A characterization of max-min SIR-balanced power allocation with applications
ISIT'09 Proceedings of the 2009 IEEE international conference on Symposium on Information Theory - Volume 4
Distributed large scale network utility maximization
ISIT'09 Proceedings of the 2009 IEEE international conference on Symposium on Information Theory - Volume 2
Concave programming upper bounds on the capacity of 2-D constraints
ISIT'09 Proceedings of the 2009 IEEE international conference on Symposium on Information Theory - Volume 2
On optimal precoding in linear vector Gaussian channels with arbitrary input distribution
ISIT'09 Proceedings of the 2009 IEEE international conference on Symposium on Information Theory - Volume 2
Multiplicity assignments for algebraic soft-decoding of Reed-Solomon codes using the method of types
ISIT'09 Proceedings of the 2009 IEEE international conference on Symposium on Information Theory - Volume 2
On convergence constrained precoder design for iterative frequency domain MIMO detector
ISIT'09 Proceedings of the 2009 IEEE international conference on Symposium on Information Theory - Volume 2
Sequential probability assignment via online convex programming using exponential families
ISIT'09 Proceedings of the 2009 IEEE international conference on Symposium on Information Theory - Volume 2
ISIT'09 Proceedings of the 2009 IEEE international conference on Symposium on Information Theory - Volume 1
Linear programming bounds on the degree distributions of LDPC code ensembles
ISIT'09 Proceedings of the 2009 IEEE international conference on Symposium on Information Theory - Volume 1
On the capacity of non-coherent network coding
ISIT'09 Proceedings of the 2009 IEEE international conference on Symposium on Information Theory - Volume 1
An LP decoding algorithm based on primal path-following interior point method
ISIT'09 Proceedings of the 2009 IEEE international conference on Symposium on Information Theory - Volume 1
ISIT'09 Proceedings of the 2009 IEEE international conference on Symposium on Information Theory - Volume 1
Distributed scheduling for multi-hop wireless networks
COMSNETS'09 Proceedings of the First international conference on COMmunication Systems And NETworks
Multipath protocol for delay-sensitive traffic
COMSNETS'09 Proceedings of the First international conference on COMmunication Systems And NETworks
A multiuser MIMO transmit beamformer based on the statistics of the signal-to-leakage ratio
EURASIP Journal on Wireless Communications and Networking
Performant design of an input shaping prefilter via embedded optimization
ACC'09 Proceedings of the 2009 conference on American Control Conference
Sensor maneuver design for microwave source localization
ACC'09 Proceedings of the 2009 conference on American Control Conference
Robust and chance-constrained optimization under polynomial uncertainty
ACC'09 Proceedings of the 2009 conference on American Control Conference
Spectral factorization of non-classical information structures under feedback
ACC'09 Proceedings of the 2009 conference on American Control Conference
Finite-time control for linear systems with input constraints
ACC'09 Proceedings of the 2009 conference on American Control Conference
Dynamic dual decomposition for distributed control
ACC'09 Proceedings of the 2009 conference on American Control Conference
Iterative learning control with saturation constraints
ACC'09 Proceedings of the 2009 conference on American Control Conference
Efficient suboptimal solutions of switched LQR problems
ACC'09 Proceedings of the 2009 conference on American Control Conference
Finite-time control for linear discrete-time systems with input constraints
ACC'09 Proceedings of the 2009 conference on American Control Conference
Immersion and invariance adaptive control of nonlinearly parameterized nonlinear systems
ACC'09 Proceedings of the 2009 conference on American Control Conference
ACC'09 Proceedings of the 2009 conference on American Control Conference
Stochastic filtering in jump systems with state dependent mode transitions
ACC'09 Proceedings of the 2009 conference on American Control Conference
Compressive cooperative sensing and mapping in mobile networks
ACC'09 Proceedings of the 2009 conference on American Control Conference
Scheduling Kalman filters in continuous time
ACC'09 Proceedings of the 2009 conference on American Control Conference
Optimal tracking over an additive white Gaussian noise channel
ACC'09 Proceedings of the 2009 conference on American Control Conference
Recursive log-barrier method for on-line time-optimal robot path tracking
ACC'09 Proceedings of the 2009 conference on American Control Conference
Optimal input shaping filters for non-zero initial states
ACC'09 Proceedings of the 2009 conference on American Control Conference
Estimation of faults in DC electrical power system
ACC'09 Proceedings of the 2009 conference on American Control Conference
Control variation as a source of uncertainty: single input case
ACC'09 Proceedings of the 2009 conference on American Control Conference
An optimal control model for human postural regulation
ACC'09 Proceedings of the 2009 conference on American Control Conference
Positional consensus in multi-agent systems using a broadcast control mechanism
ACC'09 Proceedings of the 2009 conference on American Control Conference
On decentralized stabilization of discrete-time nonlinear systems
ACC'09 Proceedings of the 2009 conference on American Control Conference
On-line time-optimal path tracking for robots
ICRA'09 Proceedings of the 2009 IEEE international conference on Robotics and Automation
Least absolute policy iteration for robust value function approximation
ICRA'09 Proceedings of the 2009 IEEE international conference on Robotics and Automation
Extending iTaSC to support inequality constraints and non-instantaneous task specification
ICRA'09 Proceedings of the 2009 IEEE international conference on Robotics and Automation
Task-space trajectories via cubic spline optimization
ICRA'09 Proceedings of the 2009 IEEE international conference on Robotics and Automation
Cooperative multi-robot localization under communication constraints
ICRA'09 Proceedings of the 2009 IEEE international conference on Robotics and Automation
CHOMP: gradient optimization techniques for efficient motion planning
ICRA'09 Proceedings of the 2009 IEEE international conference on Robotics and Automation
EURASIP Journal on Wireless Communications and Networking - Special issue on synchronization in wireless communications
Interactions in Transport Networks
Electronic Notes in Theoretical Computer Science (ENTCS)
Eigenvalue bounds, spectral partitioning, and metrical deformations via flows
Journal of the ACM (JACM)
Witsenhausen's counterexample as Assisted Interference Suppression
International Journal of Systems, Control and Communications
A nonlinear control allocation framework for complex decentralized cooperation control system
CCDC'09 Proceedings of the 21st annual international conference on Chinese control and decision conference
Joint resource allocation and admission control in wireless mesh networks
WiOPT'09 Proceedings of the 7th international conference on Modeling and Optimization in Mobile, Ad Hoc, and Wireless Networks
Retransmission aware congestion control and distributed power allocation in MANETs
WiOPT'09 Proceedings of the 7th international conference on Modeling and Optimization in Mobile, Ad Hoc, and Wireless Networks
FUZZ-IEEE'09 Proceedings of the 18th international conference on Fuzzy Systems
IntervalRank: isotonic regression with listwise and pairwise constraints
Proceedings of the third ACM international conference on Web search and data mining
Joint training interval length and power allocation optimization for MIMO flat fading channels
ISWCS'09 Proceedings of the 6th international conference on Symposium on Wireless Communication Systems
Codebook design for communication in spread and nonspread space-time block codes-based systems
ISWCS'09 Proceedings of the 6th international conference on Symposium on Wireless Communication Systems
Quasi-convex designs of max-min linear BC precoding with outage QoS constraints
ISWCS'09 Proceedings of the 6th international conference on Symposium on Wireless Communication Systems
Joint channel decoding with feedback power control in sensor networks with correlated sources
ISWCS'09 Proceedings of the 6th international conference on Symposium on Wireless Communication Systems
Achievable rate of dual-hop OFDM relay system with non-regenerative relay without FFT process
ISWCS'09 Proceedings of the 6th international conference on Symposium on Wireless Communication Systems
Joint power management and beamforming for base stations in cognitive radio systems
ISWCS'09 Proceedings of the 6th international conference on Symposium on Wireless Communication Systems
Minimum power allocation for cooperative routing in multihop wireless networks
SARNOFF'09 Proceedings of the 32nd international conference on Sarnoff symposium
On the equivalence between the MMSE receiver and Tikhonov regularization
SoftCOM'09 Proceedings of the 17th international conference on Software, Telecommunications and Computer Networks
VideoSense: a contextual in-video advertising system
IEEE Transactions on Circuits and Systems for Video Technology
Iterative approximate linear programming decoding of LDPC codes with linear complexity
IEEE Transactions on Information Theory
The capacity region of the degraded multiple-input multiple-output compound broadcast channel
IEEE Transactions on Information Theory
Distortion minimization in Gaussian layered broadcast coding with successive refinement
IEEE Transactions on Information Theory
On ergodic sum capacity of fading cognitive multiple-access and broadcast channels
IEEE Transactions on Information Theory
Outage analysis of the hybrid free-space optical and radio-frequency channel
IEEE Journal on Selected Areas in Communications - Special issue on optical wireless communications
On the sum-capacity of degraded Gaussian multiple-access relay channels
IEEE Transactions on Information Theory
Hyperplane-based vector quantization for distributed estimation in wireless sensor networks
IEEE Transactions on Information Theory
Decentralized optimization for multichannel random access
IEEE Transactions on Communications
Optimal channel estimation and training design for two-way relay networks
IEEE Transactions on Communications
End-to-end outage minimization in OFDM based linear relay networks
IEEE Transactions on Communications
Cooperative power allocation for broadcast/multicast services in cellular OFDM systems
IEEE Transactions on Communications
Generalized channel inversion methods for multiuser MIMO systems
IEEE Transactions on Communications
Cognitive multiple access channels: optimal power allocation for weighted sum rate maximization
IEEE Transactions on Communications
Time-domain transmit beamforming for MIMO-OFDM systems with finite rate feedback
IEEE Transactions on Communications
Hash-based identification of sparse image tampering
IEEE Transactions on Image Processing
NIMS-PL: a cable-driven robot with self-calibration capabilities
IEEE Transactions on Robotics
A convex analysis-based minimum-volume enclosing simplex algorithm for hyperspectral unmixing
IEEE Transactions on Signal Processing
Channel estimation for OFDM modulated two-way relay networks
IEEE Transactions on Signal Processing
On convex vector precoding for multiuser MIMO broadcast channels
IEEE Transactions on Signal Processing
Joint bit allocation and precoding for MIMO systems with decision feedback detection
IEEE Transactions on Signal Processing
Robust reduced-rank adaptive algorithm based on parallel subgradient projection and Krylov subspace
IEEE Transactions on Signal Processing
Adaptive constrained learning in reproducing Kernel Hilbert spaces: the robust beamforming case
IEEE Transactions on Signal Processing
Ranging energy optimization for robust sensor positioning based on semidefinite programming
IEEE Transactions on Signal Processing
Parametric dictionary design for sparse coding
IEEE Transactions on Signal Processing
IEEE Transactions on Signal Processing
A joint source and relay power allocation scheme for a class of MIMO relay systems
IEEE Transactions on Signal Processing
Finite precision analysis for space-time decoding
IEEE Transactions on Signal Processing
Robust cognitive beamforming with bounded channel uncertainties
IEEE Transactions on Signal Processing
IEEE Transactions on Signal Processing
On low complexity robust beamforming with positive semidefinite constraints
IEEE Transactions on Signal Processing
Joint source/relay precoder design in nonregenerative cooperative systems using an MMSE criterion
IEEE Transactions on Wireless Communications
Precoder design for non-regenerative MIMO relay systems
IEEE Transactions on Wireless Communications
Nonquiet primary user detection for OFDMA-based cognitive radio systems
IEEE Transactions on Wireless Communications
Maximum channel throughput via cooperative spectrum sensing in cognitive radio networks
IEEE Transactions on Wireless Communications
Distributed energy efficient spectrum access in cognitive radio wireless ad hoc networks
IEEE Transactions on Wireless Communications
Transmission control with imperfect CSI in channel-aware slotted ALOHA networks
IEEE Transactions on Wireless Communications
The RF-chain limited MIMO system: part I: optimum diversity-multiplexing tradeoff
IEEE Transactions on Wireless Communications
Power-optimized amplify-and-forward multi-hop relaying systems
IEEE Transactions on Wireless Communications
Distributed compression for MIMO coordinated networks with a backhaul constraint
IEEE Transactions on Wireless Communications
A cooperative MAC protocol with virtual-antenna array support in a multi-AP WLAN system
IEEE Transactions on Wireless Communications
Polynomial fuzzy models for nonlinear control: a Taylor series approach
IEEE Transactions on Fuzzy Systems
Design and analysis of asynchronous wakeup for wireless sensor networks
IEEE Transactions on Wireless Communications
A low-overhead energy detection based cooperative sensing protocol for cognitive radio systems
IEEE Transactions on Wireless Communications
Configuring A Sensor Network for Fault Detection in Distributed Parameter Systems
International Journal of Applied Mathematics and Computer Science - Issues in Fault Diagnosis and Fault Tolerant Control
On Directional Change and Anti-Windup Compensation in Multivariable Control Systems
International Journal of Applied Mathematics and Computer Science
IEEE Transactions on Circuits and Systems Part I: Regular Papers
Architecture and FPGA design of dichotomous coordinate descent algorithms
IEEE Transactions on Circuits and Systems Part I: Regular Papers
Inter-operator spectrum sharing from a game theoretical perspective
EURASIP Journal on Advances in Signal Processing - Special issue on dynamic spectrum access for wireless networking
Robust THP transceiver designs for multiuser MIMO downlink with imperfect CSIT
EURASIP Journal on Advances in Signal Processing - Multiuser MIMO Transmission with Limited Feedback, Cooperation, and Coordination
A WiMAX-based implementation of network MIMO for indoor wireless systems
EURASIP Journal on Advances in Signal Processing - Multiuser MIMO Transmission with Limited Feedback, Cooperation, and Coordination
Towards time-aware link prediction in evolving social networks
Proceedings of the 3rd Workshop on Social Network Mining and Analysis
Maximum entropy coordinates for arbitrary polytopes
SGP '08 Proceedings of the Symposium on Geometry Processing
A framework to compute page importance based on user behaviors
Information Retrieval
Convex Optimization-Based Bit Allocation for Video Coding
Journal of Signal Processing Systems
Levels of nonoptimality of the Weiszfeld Algorithm in the least-modules method
Automation and Remote Control
Prioritized optimization for task-space control
IROS'09 Proceedings of the 2009 IEEE/RSJ international conference on Intelligent robots and systems
Projection-based control of parallel manipulators
IROS'09 Proceedings of the 2009 IEEE/RSJ international conference on Intelligent robots and systems
Coordinating recharging of large scale robotic teams
IROS'09 Proceedings of the 2009 IEEE/RSJ international conference on Intelligent robots and systems
Total sensitivity based dfm optimization of standard library cells
Proceedings of the 19th international symposium on Physical design
Interconnect power and delay optimization by dynamic programming in gridded design rules
Proceedings of the 19th international symposium on Physical design
Convexity and Concavity Detection in Computational Graphs: Tree Walks for Convexity Assessment
INFORMS Journal on Computing
Accuracy Certificates for Computational Problems with Convex Structure
Mathematics of Operations Research
An improved resource allocation method based on convex optimization in centralized wireless network
WiCOM'09 Proceedings of the 5th International Conference on Wireless communications, networking and mobile computing
Downlink power allocation for distributed antenna systems in a multi-cell environment
WiCOM'09 Proceedings of the 5th International Conference on Wireless communications, networking and mobile computing
Throughput-optimal scheduling for downlink zero-forcing SDMA systems
WiCOM'09 Proceedings of the 5th International Conference on Wireless communications, networking and mobile computing
Adaptive beamforming based on subspace theory in cognitive networks
WiCOM'09 Proceedings of the 5th International Conference on Wireless communications, networking and mobile computing
Power allocation for cognitive radio systems based on nonregenerative OFDM relay transmission
WiCOM'09 Proceedings of the 5th International Conference on Wireless communications, networking and mobile computing
An interference mitigated and power efficient adaptive beamforming algorithm in cognitive radio
WiCOM'09 Proceedings of the 5th International Conference on Wireless communications, networking and mobile computing
Anomaly preserving l2,∞-optimal dimensionality reduction over a Grassmann manifold
IEEE Transactions on Signal Processing
The self-duality of discrete short-time Fourier transform and its applications
IEEE Transactions on Signal Processing
Code design for radar STAP via optimization theory
IEEE Transactions on Signal Processing
Opportunistic power allocation and sensor selection schemes for wireless sensor networks
IEEE Transactions on Wireless Communications
Optimal asymmetric resource allocation with limited feedback for OFDM based relay systems
IEEE Transactions on Wireless Communications
On overloaded vector precoding for single-user MIMO channels
IEEE Transactions on Wireless Communications
Particle swarm optimization (PSO) for structural damage detection
ASMCSS'09 Proceedings of the 3rd International Conference on Applied Mathematics, Simulation, Modelling, Circuits, Systems and Signals
Optimization on distributed cross-layer design for MRMC wireless multi-hop networks
WiCOM'09 Proceedings of the 5th International Conference on Wireless communications, networking and mobile computing
Mean Shift tracking with multiple reference color histograms
Computer Vision and Image Understanding
Distributed cooperative transmission with unreliable and untrustworthy relay channels
EURASIP Journal on Wireless Communications and Networking - Special issue on wireless network security
Secret sharing over fast-fading MIMO wiretap channels
EURASIP Journal on Wireless Communications and Networking - Special issue on wireless physical layer security
Multi-level feedback control for quality of service management
ETFA'09 Proceedings of the 14th IEEE international conference on Emerging technologies & factory automation
Mobile Networks and Applications
Multi-Standard Quadratic Optimization: interior point methods and cone programming reformulation
Computational Optimization and Applications
Robust beamforming methods for multipath signal reception
Digital Signal Processing
LMI stability conditions for fractional order systems
Computers & Mathematics with Applications
Non-rigid face tracking with enforced convexity and local appearance consistency constraint
Image and Vision Computing
A new heuristic approach for non-convex optimization problems
Information Sciences: an International Journal
Kernel-based metric learning for semi-supervised clustering
Neurocomputing
Removing outliers by minimizing the sum of infeasibilities
Image and Vision Computing
Robust minimization of lighting variation for real-time defect detection
Real-Time Imaging
Near-lifetime-optimal data collection in wireless sensor networks via spatio-temporal load balancing
ACM Transactions on Sensor Networks (TOSN)
A Least-squares Approach to Direct Importance Estimation
The Journal of Machine Learning Research
Robustness and Regularization of Support Vector Machines
The Journal of Machine Learning Research
Maximum Entropy Discrimination Markov Networks
The Journal of Machine Learning Research
Efficient Online and Batch Learning Using Forward Backward Splitting
The Journal of Machine Learning Research
On infinity norms as Lyapunov functions for piecewise affine systems
Proceedings of the 13th ACM international conference on Hybrid systems: computation and control
Bundle Methods for Regularized Risk Minimization
The Journal of Machine Learning Research
Maximum Relative Margin and Data-Dependent Regularization
The Journal of Machine Learning Research
Message-passing for Graph-structured Linear Programs: Proximal Methods and Rounding Schemes
The Journal of Machine Learning Research
A Quasi-Newton Approach to Nonsmooth Convex Optimization Problems in Machine Learning
The Journal of Machine Learning Research
Optimisation-based overload control
NET-COOP'07 Proceedings of the 1st EuroFGI international conference on Network control and optimization
On the application of hybrid control to CPU reservations
HSCC'03 Proceedings of the 6th international conference on Hybrid systems: computation and control
Image segmentation with context
SCIA'07 Proceedings of the 15th Scandinavian conference on Image analysis
Energy efficient intrusion detection in camera sensor networks
DCOSS'07 Proceedings of the 3rd IEEE international conference on Distributed computing in sensor systems
Image classification using marginalized kernels for graphs
GbRPR'07 Proceedings of the 6th IAPR-TC-15 international conference on Graph-based representations in pattern recognition
Localized maximum entropy shape modelling
IPMI'07 Proceedings of the 20th international conference on Information processing in medical imaging
The minimum volume ellipsoid metric
Proceedings of the 29th DAGM conference on Pattern recognition
Multiplicative updates for L1-regularized linear and logistic regression
IDA'07 Proceedings of the 7th international conference on Intelligent data analysis
Spectrum management for interference-limited multiuser communication systems
IEEE Transactions on Information Theory
Sparse approximation and the pursuit of meaningful signal models with interference adaptation
IEEE Transactions on Audio, Speech, and Language Processing
Design of irregular LDPC codes with optimized performance-complexity tradeoff
IEEE Transactions on Communications
Energy-efficient link adaptation in frequency-selective channels
IEEE Transactions on Communications
IEEE Transactions on Communications
Convex optimization for nonrigid stereo reconstruction
IEEE Transactions on Image Processing
Representation of a fisher criterion function in a kernel feature space
IEEE Transactions on Neural Networks
IEEE Transactions on Signal Processing
Gaussian multiresolution models: exploiting sparse Markov and covariance structure
IEEE Transactions on Signal Processing
Diffusion LMS strategies for distributed estimation
IEEE Transactions on Signal Processing
Adaptive filter algorithms for accelerated discrete-time consensus
IEEE Transactions on Signal Processing
Singular value decompositions and low rank approximations of tensors
IEEE Transactions on Signal Processing
Filter-and-forward distributed beamforming in relay networks with frequency selective fading
IEEE Transactions on Signal Processing
MIMO transceivers with decision feedback and bit loading: theory and optimization
IEEE Transactions on Signal Processing
IEEE Transactions on Signal Processing
IEEE Transactions on Signal Processing
IEEE Transactions on Signal Processing
IEEE Transactions on Signal Processing
IEEE Transactions on Signal Processing
Distributed spectrum sensing for cognitive radio networks by exploiting sparsity
IEEE Transactions on Signal Processing
Improving wireless physical layer security via cooperating relays
IEEE Transactions on Signal Processing
Testing axioms for quantum theory on probabilistic toy-theories
Quantum Information Processing
Reparameterization based consistent graph-structured linear programs
Proceedings of the 2010 ACM Symposium on Applied Computing
Optimal algorithms in multiview geometry
ACCV'07 Proceedings of the 8th Asian conference on Computer vision - Volume Part I
A fast optimal algorithm for L2 triangulation
ACCV'07 Proceedings of the 8th Asian conference on Computer vision - Volume Part II
Sequential L∞ norm minimization for triangulation
ACCV'07 Proceedings of the 8th Asian conference on Computer vision - Volume Part II
Design methodology for software radio systems
SAMOS'07 Proceedings of the 7th international conference on Embedded computer systems: architectures, modeling, and simulation
HM'07 Proceedings of the 4th international conference on Hybrid metaheuristics
Learning gene regulatory networks via globally regularized risk minimization
RECOMB-CG'07 Proceedings of the 2007 international conference on Comparative genomics
ACM Transactions on Sensor Networks (TOSN)
Subspace self-collision culling
ACM SIGGRAPH 2010 papers
Deciding simulations on probabilistic automata
ATVA'07 Proceedings of the 5th international conference on Automated technology for verification and analysis
Video multicast over wireless ad hoc networks usingdistributed optimization
PCM'07 Proceedings of the multimedia 8th Pacific Rim conference on Advances in multimedia information processing
Robust sampling for MITL specifications
FORMATS'07 Proceedings of the 5th international conference on Formal modeling and analysis of timed systems
On competitiveness in uniform utility allocation markets
WINE'07 Proceedings of the 3rd international conference on Internet and network economics
Online convex optimization-based algorithm for thermal management of MPSoCs
Proceedings of the 20th symposium on Great lakes symposium on VLSI
An efficient power allocation scheme in joint spectrum overlay and underlay cognitive radio networks
ISCIT'09 Proceedings of the 9th international conference on Communications and information technologies
Max-min fairness based radio resource management in fourth generation heterogeneous networks
ISCIT'09 Proceedings of the 9th international conference on Communications and information technologies
Cross-entropy optimization for sensor selection problems
ISCIT'09 Proceedings of the 9th international conference on Communications and information technologies
A new quasi-optimal detection algorithm for a non orthogonal spectrally efficient FDM
ISCIT'09 Proceedings of the 9th international conference on Communications and information technologies
Network-load dependent partial frequency reuse for LTE
ISCIT'09 Proceedings of the 9th international conference on Communications and information technologies
Reduced computational complexity beamspace beamforming design for MIMO multicast systems
NTMS'09 Proceedings of the 3rd international conference on New technologies, mobility and security
Computational bit-width allocation for operations in vector calculus
ICCD'09 Proceedings of the 2009 IEEE international conference on Computer design
Cross-layer optimized congestion, contention and power control in wireless ad hoc networks
NETWORKING'08 Proceedings of the 7th international IFIP-TC6 networking conference on AdHoc and sensor networks, wireless networks, next generation internet
Symbolic model checking of hybrid systems using template polyhedra
TACAS'08/ETAPS'08 Proceedings of the Theory and practice of software, 14th international conference on Tools and algorithms for the construction and analysis of systems
Sparse approximate solutions to semidefinite programs
LATIN'08 Proceedings of the 8th Latin American conference on Theoretical informatics
ICCSA'07 Proceedings of the 2007 international conference on Computational science and its applications - Volume Part III
Optimal state estimation in the presence of communication costs and packet drops
Allerton'09 Proceedings of the 47th annual Allerton conference on Communication, control, and computing
A convex optimization approach of multi-step sensor selection under correlated noise
Allerton'09 Proceedings of the 47th annual Allerton conference on Communication, control, and computing
Synthesis of H2 optimal static structured controllers: primal and dual formulations
Allerton'09 Proceedings of the 47th annual Allerton conference on Communication, control, and computing
Iterative maximum likelihood on networks
Allerton'09 Proceedings of the 47th annual Allerton conference on Communication, control, and computing
Joint source-channel with side information coding error exponents
Allerton'09 Proceedings of the 47th annual Allerton conference on Communication, control, and computing
On the sum capacity of the Gaussian multiple access channel with feedback
Allerton'09 Proceedings of the 47th annual Allerton conference on Communication, control, and computing
Asymptotic capacity of randomly-failing relay networks with DF strategy
Allerton'09 Proceedings of the 47th annual Allerton conference on Communication, control, and computing
MIMO wireless communications under statistical queueing constraints
Allerton'09 Proceedings of the 47th annual Allerton conference on Communication, control, and computing
Allerton'09 Proceedings of the 47th annual Allerton conference on Communication, control, and computing
Efficient linear precoding in downlink cooperative cellular networks with soft interference nulling
Allerton'09 Proceedings of the 47th annual Allerton conference on Communication, control, and computing
Allerton'09 Proceedings of the 47th annual Allerton conference on Communication, control, and computing
An effective incremental algorithm for ν-support vector machine
IITA'09 Proceedings of the 3rd international conference on Intelligent information technology application
An effective regularization path for ν-support vector classification
IITA'09 Proceedings of the 3rd international conference on Intelligent information technology application
UAI '09 Proceedings of the Twenty-Fifth Conference on Uncertainty in Artificial Intelligence
Multi-task feature learning via efficient l2, 1-norm minimization
UAI '09 Proceedings of the Twenty-Fifth Conference on Uncertainty in Artificial Intelligence
Domain knowledge uncertainty and probabilistic parameter constraints
UAI '09 Proceedings of the Twenty-Fifth Conference on Uncertainty in Artificial Intelligence
Modeling discrete interventional data using directed cyclic graphical models
UAI '09 Proceedings of the Twenty-Fifth Conference on Uncertainty in Artificial Intelligence
Measuring inconsistency in probabilistic knowledge bases
UAI '09 Proceedings of the Twenty-Fifth Conference on Uncertainty in Artificial Intelligence
Contracting for Collaborative Services
Management Science
International Journal of Systems Science - Dynamics Analysis of Gene Regulatory Networks
Sorting under partial information (without the ellipsoid algorithm)
Proceedings of the forty-second ACM symposium on Theory of computing
Understanding cardinality estimation using entropy maximization
Proceedings of the twenty-ninth ACM SIGMOD-SIGACT-SIGART symposium on Principles of database systems
Optimizing linear counting queries under differential privacy
Proceedings of the twenty-ninth ACM SIGMOD-SIGACT-SIGART symposium on Principles of database systems
Processing continuous join queries in sensor networks: a filtering approach
Proceedings of the 2010 ACM SIGMOD International Conference on Management of data
Composition of markets with conflicting incentives
Proceedings of the 11th ACM conference on Electronic commerce
Probabilistic performance modeling of virtualized resource allocation
Proceedings of the 7th international conference on Autonomic computing
A branch-and-bound algorithm for globally optimal camera pose and focal length
Image and Vision Computing
Electronic horizon: road information used by Energy Management strategies
International Journal of Intelligent Information and Database Systems
Distributed caching over heterogeneous mobile networks
Proceedings of the ACM SIGMETRICS international conference on Measurement and modeling of computer systems
Compressed sensing reception of bursty UWB impulse radio is robust to narrow-band interference
GLOBECOM'09 Proceedings of the 28th IEEE conference on Global telecommunications
Waterfilling schemes for zero-forcing coordinated base station transmission
GLOBECOM'09 Proceedings of the 28th IEEE conference on Global telecommunications
Optimizing training-based transmission for correlated MIMO systems with hybrid feedback
GLOBECOM'09 Proceedings of the 28th IEEE conference on Global telecommunications
Dual optimal resource allocation for heterogeneous transmission in OFDMA systems
GLOBECOM'09 Proceedings of the 28th IEEE conference on Global telecommunications
GLOBECOM'09 Proceedings of the 28th IEEE conference on Global telecommunications
Symbol-wise beamforming for co-channel interference reduction in MIMO-OFDM systems
GLOBECOM'09 Proceedings of the 28th IEEE conference on Global telecommunications
GLOBECOM'09 Proceedings of the 28th IEEE conference on Global telecommunications
GLOBECOM'09 Proceedings of the 28th IEEE conference on Global telecommunications
Transmission delay analysis with finite coding length in wireless cooperative networks
GLOBECOM'09 Proceedings of the 28th IEEE conference on Global telecommunications
Joint power control and beamforming codebook design for MISO channels with limited feedback
GLOBECOM'09 Proceedings of the 28th IEEE conference on Global telecommunications
On asymptotic ensemble weight enumerators of multi-edge type codes
GLOBECOM'09 Proceedings of the 28th IEEE conference on Global telecommunications
Cross-layer congestion control and scheduling in multi-hop OFDMA wireless networks
GLOBECOM'09 Proceedings of the 28th IEEE conference on Global telecommunications
Utility-based scheduling with non-deterministic fading channels in wireless multi-hop networks
GLOBECOM'09 Proceedings of the 28th IEEE conference on Global telecommunications
Fair energy-efficient resource allocation over fading TDMA channels
GLOBECOM'09 Proceedings of the 28th IEEE conference on Global telecommunications
Stochastic resource allocation over fading multiple access and broadcast channels
GLOBECOM'09 Proceedings of the 28th IEEE conference on Global telecommunications
Exploiting opportunistic multiuser detection in decentralized multiuser MIMO systems
GLOBECOM'09 Proceedings of the 28th IEEE conference on Global telecommunications
Robust beamforming design: From cognitive radio MISO channels to secrecy MISO channels
GLOBECOM'09 Proceedings of the 28th IEEE conference on Global telecommunications
MMSE relaying and power allocation over frequency-selective rayleigh fading channels
GLOBECOM'09 Proceedings of the 28th IEEE conference on Global telecommunications
Asymptotic capacity of large fading relay networks under random attacks
GLOBECOM'09 Proceedings of the 28th IEEE conference on Global telecommunications
Joint MMSE transceiver design for closed-loop non-regenerative MIMO relaying systems
GLOBECOM'09 Proceedings of the 28th IEEE conference on Global telecommunications
Power allocation for OFDM-based cognitive radio systems with hybrid protection to primary users
GLOBECOM'09 Proceedings of the 28th IEEE conference on Global telecommunications
Randomized multi-channel interrogation algorithm for large-scale RFID systems
GLOBECOM'09 Proceedings of the 28th IEEE conference on Global telecommunications
Reliability-based rate allocation in wireless inter-session network coding systems
GLOBECOM'09 Proceedings of the 28th IEEE conference on Global telecommunications
Optimum power allocation against information leakage in wireless network
GLOBECOM'09 Proceedings of the 28th IEEE conference on Global telecommunications
Relaying power allocation with user-cooperation for OFDM-based MISO broadcast channels
GLOBECOM'09 Proceedings of the 28th IEEE conference on Global telecommunications
Cross-layer resource allocation for wireless networks via signomial programming
GLOBECOM'09 Proceedings of the 28th IEEE conference on Global telecommunications
Optimal flow control for utility-lifetime tradeoff in wireless sensor networks
GLOBECOM'09 Proceedings of the 28th IEEE conference on Global telecommunications
A graph embedding method for wireless sensor networks localization
GLOBECOM'09 Proceedings of the 28th IEEE conference on Global telecommunications
Centralized and distributed optimization of ad-hoc cognitive radio network
GLOBECOM'09 Proceedings of the 28th IEEE conference on Global telecommunications
Multicell multicast beamforming with delayed SNR feedback
GLOBECOM'09 Proceedings of the 28th IEEE conference on Global telecommunications
Scalable video multicast on broadcast channels
GLOBECOM'09 Proceedings of the 28th IEEE conference on Global telecommunications
Reducing signaling and respecting time-scales in cross-layer protocols design for wireless networks
GLOBECOM'09 Proceedings of the 28th IEEE conference on Global telecommunications
A convex optimization approach to blind channel shortening in multicarrier modulations
GLOBECOM'09 Proceedings of the 28th IEEE conference on Global telecommunications
Worst-case sensing deception in cognitive radio networks
GLOBECOM'09 Proceedings of the 28th IEEE conference on Global telecommunications
Joint channel and echo impulse response shortening for high-speed data transmission
GLOBECOM'09 Proceedings of the 28th IEEE conference on Global telecommunications
SNR maximization and distributed beamforming in multiuser multi-relay networks
GLOBECOM'09 Proceedings of the 28th IEEE conference on Global telecommunications
Distributed beamforming in multiuser multi-relay networks with guaranteed QoS
GLOBECOM'09 Proceedings of the 28th IEEE conference on Global telecommunications
An iterative precoder optimization method for K-user interference channel systems
GLOBECOM'09 Proceedings of the 28th IEEE conference on Global telecommunications
Received signal strength-based wireless localization via semidefinite programming
GLOBECOM'09 Proceedings of the 28th IEEE conference on Global telecommunications
Sequential greedy localization in wireless sensor networks with inaccurate anchor positions
GLOBECOM'09 Proceedings of the 28th IEEE conference on Global telecommunications
Joint power and channel resource allocation for F/TDMA decode and forward relay networks
GLOBECOM'09 Proceedings of the 28th IEEE conference on Global telecommunications
Distributed coordinated multi-cell transmission based on dual decomposition
GLOBECOM'09 Proceedings of the 28th IEEE conference on Global telecommunications
On downlink network MIMO under a constrained backhaul and imperfect channel knowledge
GLOBECOM'09 Proceedings of the 28th IEEE conference on Global telecommunications
Revenue maximizing game and its extension for multicell wireless access networks
GLOBECOM'09 Proceedings of the 28th IEEE conference on Global telecommunications
Subcarrier-pair based resource allocation for cooperative AF multi-relay OFDM systems
GLOBECOM'09 Proceedings of the 28th IEEE conference on Global telecommunications
A suboptimal network utility maximization approach for scalable multimedia applications
GLOBECOM'09 Proceedings of the 28th IEEE conference on Global telecommunications
A convex optimization approach to blind channel shortening in multicarrier modulations
GLOBECOM'09 Proceedings of the 28th IEEE conference on Global telecommunications
Bayesian robust linear transceiver design for dual-hop amplify-and-forward MIMO relay systems
GLOBECOM'09 Proceedings of the 28th IEEE conference on Global telecommunications
GLOBECOM'09 Proceedings of the 28th IEEE conference on Global telecommunications
Power allocation for beamforming relay networks under channel uncertainties
GLOBECOM'09 Proceedings of the 28th IEEE conference on Global telecommunications
A probabilistic approach to identifying the number of frequency hoppers for spectrum sensing
GLOBECOM'09 Proceedings of the 28th IEEE conference on Global telecommunications
A hierarchical game approach to inter-operator spectrum sharing
GLOBECOM'09 Proceedings of the 28th IEEE conference on Global telecommunications
Energy-efficient space-time coded cooperative routing in multihop wireless networks
GLOBECOM'09 Proceedings of the 28th IEEE conference on Global telecommunications
Tradeoff power control for cellular systems
GLOBECOM'09 Proceedings of the 28th IEEE conference on Global telecommunications
Proceedings of the 6th International Wireless Communications and Mobile Computing Conference
Proceedings of the 6th International Wireless Communications and Mobile Computing Conference
Optimal power allocation for OFDM cognitive system based on dual decomposition method
Proceedings of the 6th International Wireless Communications and Mobile Computing Conference
A coalitional game model for cooperative cognitive radio networks
Proceedings of the 6th International Wireless Communications and Mobile Computing Conference
A hybrid multicast-unicast infrastructure for efficient publish-subscribe in enterprise networks
Proceedings of the 3rd Annual Haifa Experimental Systems Conference
Energy-performance tradeoffs in processor architecture and circuit design: a marginal cost analysis
Proceedings of the 37th annual international symposium on Computer architecture
Non-parametric kernel ranking approach for social image retrieval
Proceedings of the ACM International Conference on Image and Video Retrieval
Proceedings of the ACM International Conference on Image and Video Retrieval
Cooperative interdomain traffic engineering using Nash bargaining and decomposition
IEEE/ACM Transactions on Networking (TON)
Toward practical opportunistic routing with intra-session network coding for mesh networks
IEEE/ACM Transactions on Networking (TON)
Low-complexity and distributed energy minimization in multihop wireless networks
IEEE/ACM Transactions on Networking (TON)
Coverage-time optimization for clustered wireless sensor networks: a power-balancing approach
IEEE/ACM Transactions on Networking (TON)
Wireless sensor networks localization with isomap
ICC'09 Proceedings of the 2009 IEEE international conference on Communications
Optimal sleep-wake policies for an energy harvesting sensor node
ICC'09 Proceedings of the 2009 IEEE international conference on Communications
Multipath distributed data reliability for wireless sensor networks
ICC'09 Proceedings of the 2009 IEEE international conference on Communications
Optimal utility-energy tradeoff in delay constrained random access networks
ICC'09 Proceedings of the 2009 IEEE international conference on Communications
Power allocation for multi-access two-way relaying
ICC'09 Proceedings of the 2009 IEEE international conference on Communications
Completion time minimization and robust power control in wireless packet networks
ICC'09 Proceedings of the 2009 IEEE international conference on Communications
On capacity region of two-way multi-antenna relay channel with analogue network coding
ICC'09 Proceedings of the 2009 IEEE international conference on Communications
Slow adaptive OFDMA via stochastic programming
ICC'09 Proceedings of the 2009 IEEE international conference on Communications
A cross-layer perspective on rateless coding for wireless channels
ICC'09 Proceedings of the 2009 IEEE international conference on Communications
Spectrum balancing algorithms for power minimization in DSL networks
ICC'09 Proceedings of the 2009 IEEE international conference on Communications
Distributed beamforming in relay-assisted multiuser communications
ICC'09 Proceedings of the 2009 IEEE international conference on Communications
Effective capacity maximization in multi-antenna channels with covariance feedback
ICC'09 Proceedings of the 2009 IEEE international conference on Communications
Power allocation for improved DF relayed OFDM transmission: te individual power constraint case
ICC'09 Proceedings of the 2009 IEEE international conference on Communications
Channel estimation and performance of mismatched decoding in wireless relay networks
ICC'09 Proceedings of the 2009 IEEE international conference on Communications
QoS-aware optimal power allocation with channel inversion regularization precoding in MU-MIMO
ICC'09 Proceedings of the 2009 IEEE international conference on Communications
Minimum sum expected distortion in cooperative networks
ICC'09 Proceedings of the 2009 IEEE international conference on Communications
Constrained ergodic rates maximization for mobile WiMAX with statistical channel information
ICC'09 Proceedings of the 2009 IEEE international conference on Communications
Joint precoding for MIMO-relay systems with partial channel state information
ICC'09 Proceedings of the 2009 IEEE international conference on Communications
Power allocations for adaptive distributed MIMO multi-hop networks
ICC'09 Proceedings of the 2009 IEEE international conference on Communications
An interior point penalty method for utility maximization problems in OFDMA networks
ICC'09 Proceedings of the 2009 IEEE international conference on Communications
Fairness-aware resource allocation in OFDMA cooperative relaying network
ICC'09 Proceedings of the 2009 IEEE international conference on Communications
Dynamic resource allocation with limited feedback for OFDM based cooperative networks
ICC'09 Proceedings of the 2009 IEEE international conference on Communications
Adaptive resource allocation for multi-destination relay systems based on OFDM modulation
ICC'09 Proceedings of the 2009 IEEE international conference on Communications
Cooperative amplify-and-forward beamforming for OFDM systems with multiple relays
ICC'09 Proceedings of the 2009 IEEE international conference on Communications
On channel estimation for OFDM based two-way relay networks
ICC'09 Proceedings of the 2009 IEEE international conference on Communications
Dynamic channel feedback control for limited-feedback multi-user MIMO systems
ICC'09 Proceedings of the 2009 IEEE international conference on Communications
Effective capacity of superposition coding based mobile multicast in wireless networks
ICC'09 Proceedings of the 2009 IEEE international conference on Communications
ICC'09 Proceedings of the 2009 IEEE international conference on Communications
Distributed gradient based gain allocation for coherent multiuser AF relaying networks
ICC'09 Proceedings of the 2009 IEEE international conference on Communications
Optimal relay assignment and power allocation in selection based cooperative cellular networks
ICC'09 Proceedings of the 2009 IEEE international conference on Communications
A novel power allocation scheme for distributed space-time coding
ICC'09 Proceedings of the 2009 IEEE international conference on Communications
Rate and power adaptation for increasing spectrum efficiency in cognitive radio networks
ICC'09 Proceedings of the 2009 IEEE international conference on Communications
Decentralized fair resource allocation for relay-assisted cognitive cellular downlink systems
ICC'09 Proceedings of the 2009 IEEE international conference on Communications
Protocols and resource allocation for the two-way relay channel with half-duplex terminals
ICC'09 Proceedings of the 2009 IEEE international conference on Communications
Power optimal signaling for fading multi-access channel in presence of coding gap
ICC'09 Proceedings of the 2009 IEEE international conference on Communications
Fast power control for cross-layer optimal resource allocation in DS-CDMA wireless networks
ICC'09 Proceedings of the 2009 IEEE international conference on Communications
Non-regenerative multicarrier MIMO relay communications based on minimization of mean-squared error
ICC'09 Proceedings of the 2009 IEEE international conference on Communications
Transmission control with imperfect CSI in channel-aware slotted ALOHA networks
ICC'09 Proceedings of the 2009 IEEE international conference on Communications
ICC'09 Proceedings of the 2009 IEEE international conference on Communications
Nash bargaining over MIMO interference systems
ICC'09 Proceedings of the 2009 IEEE international conference on Communications
Joint flow control, routing and medium access control in random access multi-hop wireless networks
ICC'09 Proceedings of the 2009 IEEE international conference on Communications
Using limited feedback in power allocation design for a two-hop relay OFDM system
ICC'09 Proceedings of the 2009 IEEE international conference on Communications
Power allocation for MIMO two-way relay network
ICICS'09 Proceedings of the 7th international conference on Information, communications and signal processing
Pre-FFT combining with balanced subcarrier performance for STBC-OFDM systems
ICICS'09 Proceedings of the 7th international conference on Information, communications and signal processing
Joint downlink transmit and receive beamforming under per-antenna power constraints
ICICS'09 Proceedings of the 7th international conference on Information, communications and signal processing
Fluorescence microscopy imaging denoising with log-Euclidean priors and photobleaching compensation
ICIP'09 Proceedings of the 16th IEEE international conference on Image processing
LCD motion blur reduction using FIR filter banks
ICIP'09 Proceedings of the 16th IEEE international conference on Image processing
Multi-class SVM for forestry classification
ICIP'09 Proceedings of the 16th IEEE international conference on Image processing
Multiple kernel maximum margin criterion
ICIP'09 Proceedings of the 16th IEEE international conference on Image processing
Two dimensional nonnegative matrix factorization
ICIP'09 Proceedings of the 16th IEEE international conference on Image processing
Effective corner-based techniques for variation-aware IC timing verification
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
Delay constrained multiplexing of video streams using dual-frame video coding
IEEE Transactions on Image Processing
On the transient and steady-state estimates of interval genetic regulatory networks
IEEE Transactions on Systems, Man, and Cybernetics, Part B: Cybernetics
A division algebraic framework for multidimensional support vector regression
IEEE Transactions on Systems, Man, and Cybernetics, Part B: Cybernetics
Estimation over fading channels with limited feedback using distributed sensing
IEEE Transactions on Signal Processing
Active learning and basis selection for kernel-based linear models: a Bayesian perspective
IEEE Transactions on Signal Processing
IEEE Transactions on Signal Processing
Power allocation for a MIMO relay system with multiple-antenna users
IEEE Transactions on Signal Processing
Higher dimensional consensus: learning in large-scale networks
IEEE Transactions on Signal Processing
On-line learning and optimization for wireless video transmission
IEEE Transactions on Signal Processing
Maximally robust 2-D channel estimation for OFDM systems
IEEE Transactions on Signal Processing
Asymptotic performance analysis and successive selected mapping for PAR reduction in OFDM
IEEE Transactions on Signal Processing
Robust spectrum management for DMT-based systems
IEEE Transactions on Signal Processing
Event-driven optimal feedback control for multiantenna beamforming
IEEE Transactions on Signal Processing
Distributed wireless sensor network localization via sequential greedy optimization algorithm
IEEE Transactions on Signal Processing
Successive refinement of vector sources under individual distortion criteria
IEEE Transactions on Information Theory
IEEE Transactions on Information Theory
Convergence of min-sum message-passing for convex optimization
IEEE Transactions on Information Theory
Efficient power allocation schemes for nonconvex sum-rate maximization on Gaussian cognitive MAC
IEEE Transactions on Communications
Power control for cooperative dynamic spectrum access networks with diverse QoS constraints
IEEE Transactions on Communications
Multi-strata codes: space-time block codes with low detection complexity
IEEE Transactions on Communications
An optimal orthogonal overlay for a cyclostationary legacy signal
IEEE Transactions on Communications
Far-field acoustic source localization and bearing estimation using ΣΔ learners
IEEE Transactions on Circuits and Systems Part I: Regular Papers
Autonomic traffic engineering for network robustness
IEEE Journal on Selected Areas in Communications
Double auction mechanisms for resource allocation in autonomous networks
IEEE Journal on Selected Areas in Communications
Passive-set-position-modulation framework for interactive robotic systems
IEEE Transactions on Robotics
Linear precoder designs for K-user interference channels
IEEE Transactions on Wireless Communications
Subcarrier selection and power allocation for amplify-and-forward relaying over OFDM links
IEEE Transactions on Wireless Communications
IEEE Transactions on Wireless Communications
Coordinated linear beamforming in downlink multi-cell wireless networks
IEEE Transactions on Wireless Communications
IEEE Transactions on Wireless Communications
Secure communication over MISO cognitive radio channels
IEEE Transactions on Wireless Communications
Perturbation analysis for spectrum sharing in cognitive radio networks
IEEE Transactions on Wireless Communications
Optimum feedback quantization in an opportunistic beamforming scheme
IEEE Transactions on Wireless Communications
Subcarrier-pair based resource allocation for cooperative multi-relay OFDM systems
IEEE Transactions on Wireless Communications
Relay selection and power allocation in cooperative cellular networks
IEEE Transactions on Wireless Communications
S-MAPEL: monotonic optimization for non-convex joint power control and scheduling problems
IEEE Transactions on Wireless Communications
Multi-hop MIMO relay networks: diversity-multiplexing trade-off analysis
IEEE Transactions on Wireless Communications
A class of convergent algorithms for resource allocation in wireless fading networks
IEEE Transactions on Wireless Communications
Statistical QoS provisionings for wireless unicast/multicast of multi-layer video streams
IEEE Journal on Selected Areas in Communications
Layer bargaining: multicast layered video over wireless networks
IEEE Journal on Selected Areas in Communications
MIMO video broadcast via transmit-precoding and SNR-scalable video coding
IEEE Journal on Selected Areas in Communications
Boosting through optimization of margin distributions
IEEE Transactions on Neural Networks
A non-cooperative game approach for power-aware MAC in ad hoc wireless networks
Computer Communications
Generalized re-weighting local sampling mean discriminant analysis
Pattern Recognition
A channel model for inferring the optimal number of electrodes for future cochlear implants
IEEE Transactions on Information Theory - Special issue on information theory in molecular biology and neuroscience
Stochastic resource allocation over fading multiple access and broadcast channels
IEEE Transactions on Information Theory
Visual query suggestion: Towards capturing user intent in internet image search
ACM Transactions on Multimedia Computing, Communications, and Applications (TOMCCAP)
Semi-supervised distance metric learning for collaborative image retrieval and clustering
ACM Transactions on Multimedia Computing, Communications, and Applications (TOMCCAP)
Estimation of distribution algorithm for sensor selection problems
RWS'10 Proceedings of the 2010 IEEE conference on Radio and wireless symposium
Cross-layer resource allocation for wireless distributed computing networks
RWS'10 Proceedings of the 2010 IEEE conference on Radio and wireless symposium
Coresets, sparse greedy approximation, and the Frank-Wolfe algorithm
ACM Transactions on Algorithms (TALG)
Robust Tomlinson-Harashima Precoders for Multiuser MISO Downlink with Imperfect CSI
Wireless Personal Communications: An International Journal
Target localization accuracy gain in MIMO radar-based systems
IEEE Transactions on Information Theory
Characterization of SINR region for interfering links with constrained power
IEEE Transactions on Information Theory
Multiuser MIMO achievable rates with downlink training and channel state
IEEE Transactions on Information Theory
EMPIRE: an efficient and compact multiple-parameterized model-order reduction
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
QoS aware relay selection and subcarrier allocation in cooperative OFDMA systems
IEEE Communications Letters
Optimal allocation of sensing time between two primary channels in cognitive radio networks
IEEE Communications Letters
Multiobjective optimization for pre-DFT combining in coded SIMO-OFDM systems
IEEE Communications Letters
Optimal joint source and relay beamforming for MIMO relays with direct link
IEEE Communications Letters
Random access for elastic and inelastic traffic in WLANs
IEEE Transactions on Wireless Communications
IEEE Transactions on Wireless Communications
Distributed power allocation in multi-user multi-channel cellular relay networks
IEEE Transactions on Wireless Communications
Channel estimation and training design for two-way relay networks with power allocation
IEEE Transactions on Wireless Communications
IEEE Transactions on Wireless Communications
3D Reconstruction of Periodic Motion from a Single View
International Journal of Computer Vision
Learning Photometric Invariance for Object Detection
International Journal of Computer Vision
A Solution of the Dichromatic Model for Multispectral Photometric Invariance
International Journal of Computer Vision
IEEE Transactions on Communications
Radio resource allocation for OFDMA cognitive cooperative system
ICACT'10 Proceedings of the 12th international conference on Advanced communication technology
Cross-layer optimization for wireless networks with deterministic channel models
INFOCOM'10 Proceedings of the 29th conference on Information communications
An optimization based distributed algorithm for mobile data gathering in wireless sensor networks
INFOCOM'10 Proceedings of the 29th conference on Information communications
Joint energy management and resource allocation in rechargeable sensor networks
INFOCOM'10 Proceedings of the 29th conference on Information communications
Pricing under constraints in access networks: revenue maximization and congestion management
INFOCOM'10 Proceedings of the 29th conference on Information communications
File fragmentation over an unreliable channel
INFOCOM'10 Proceedings of the 29th conference on Information communications
Markov approximation for combinatorial network optimization
INFOCOM'10 Proceedings of the 29th conference on Information communications
Practical scheduling algorithms for concurrent transmissions in rate-adaptive wireless networks
INFOCOM'10 Proceedings of the 29th conference on Information communications
Resource allocation in multi-cell OFDMA-based relay networks
INFOCOM'10 Proceedings of the 29th conference on Information communications
INFOCOM'10 Proceedings of the 29th conference on Information communications
Optimal SINR-based random access
INFOCOM'10 Proceedings of the 29th conference on Information communications
Routing for energy minimization in the speed scaling model
INFOCOM'10 Proceedings of the 29th conference on Information communications
Inter-cell interference mitigation allocation for half-duplex relays based cooperation
WD'09 Proceedings of the 2nd IFIP conference on Wireless days
Cooperative beamforming in cognitive radio networks
WD'09 Proceedings of the 2nd IFIP conference on Wireless days
Temporal click model for sponsored search
Proceedings of the 33rd international ACM SIGIR conference on Research and development in information retrieval
GLS-SOD: a generalized local statistical approach for spatial outlier detection
Proceedings of the 16th ACM SIGKDD international conference on Knowledge discovery and data mining
Semi-supervised sparse metric learning using alternating linearization optimization
Proceedings of the 16th ACM SIGKDD international conference on Knowledge discovery and data mining
Learning incoherent sparse and low-rank patterns from multiple tasks
Proceedings of the 16th ACM SIGKDD international conference on Knowledge discovery and data mining
Transfer metric learning by learning task relationships
Proceedings of the 16th ACM SIGKDD international conference on Knowledge discovery and data mining
Optimal non-iterative pose estimation via convex relaxation
Image and Vision Computing
Speedpath analysis under parametric timing models
Proceedings of the 47th Design Automation Conference
Pulsed-latch aware placement for timing-integrity optimization
Proceedings of the 47th Design Automation Conference
Compact modeling and robust layout optimization for contacts in deep sub-wavelength lithography
Proceedings of the 47th Design Automation Conference
A framework for optimizing thermoelectric active cooling systems
Proceedings of the 47th Design Automation Conference
Trading agents for the smart electricity grid
Proceedings of the 9th International Conference on Autonomous Agents and Multiagent Systems: volume 1 - Volume 1
Clustering with feature order preferences
Intelligent Data Analysis - Artificial Intelligence
Robust power allocation algorithms for wireless relay networks
IEEE Transactions on Communications
Convolutionally coded transmission over Markov-Gaussian channels: analysis and decoding metrics
IEEE Transactions on Communications
Capacity limits of multi-antenna multicasting under correlated fading channels
IEEE Transactions on Communications
Energy-aware utility regions: multiple access Pareto boundary
IEEE Transactions on Wireless Communications
Joint routing and sleep scheduling for lifetime maximization of wireless sensor networks
IEEE Transactions on Wireless Communications
MMSE based transceiver designs in closed-loop non-regenerative MIMO relaying systems
IEEE Transactions on Wireless Communications
Fading cognitive multiple access channels: outage capacity regions and optimal power allocation
IEEE Transactions on Wireless Communications
A platform-based methodology for system-level mixed-signal design
EURASIP Journal on Embedded Systems - Special issue on design methodologies and innovative architectures for mixed-signal embedded systems
EURASIP Journal on Advances in Signal Processing - Special issue on filter banks for next-generation multicarrier wireless communications
Optimized paraunitary filter banks for time-frequency channel diagonalization
EURASIP Journal on Advances in Signal Processing - Special issue on filter banks for next-generation multicarrier wireless communications
Unified Computation of Strict Maximum Likelihood for Geometric Fitting
Journal of Mathematical Imaging and Vision
Generalized Convexity in Multiple View Geometry
Journal of Mathematical Imaging and Vision
WTS'10 Proceedings of the 9th conference on Wireless telecommunications symposium
On capacity computation for the two-user binary multiple-access channel: solutions by cooperation
Sarnoff'10 Proceedings of the 33rd IEEE conference on Sarnoff
Noisy signal recovery via iterative reweighted L1-minimization
Asilomar'09 Proceedings of the 43rd Asilomar conference on Signals, systems and computers
Reformulating the least-square source localization problem with contracted distances
Asilomar'09 Proceedings of the 43rd Asilomar conference on Signals, systems and computers
Asilomar'09 Proceedings of the 43rd Asilomar conference on Signals, systems and computers
Secrecy rate optimization under cooperation with perfect channel state information
Asilomar'09 Proceedings of the 43rd Asilomar conference on Signals, systems and computers
Sampling rate reduction for 60 GHz UWB communication using compressive sensing
Asilomar'09 Proceedings of the 43rd Asilomar conference on Signals, systems and computers
Recovering tensor data from incomplete measurement via compressive sampling
Asilomar'09 Proceedings of the 43rd Asilomar conference on Signals, systems and computers
Power allocation for irregularly modulated MIMO signaling with iterative frequency domain detector
Asilomar'09 Proceedings of the 43rd Asilomar conference on Signals, systems and computers
Asilomar'09 Proceedings of the 43rd Asilomar conference on Signals, systems and computers
Re-estimation of linear predictive parameters in sparse linear prediction
Asilomar'09 Proceedings of the 43rd Asilomar conference on Signals, systems and computers
Globally Optimal Algorithms for Stratified Autocalibration
International Journal of Computer Vision
WSEAS TRANSACTIONS on SYSTEMS
A methodology to improve yield in analog circuits by using geometric programming
SBCCI '10 Proceedings of the 23rd symposium on Integrated circuits and system design
DMATiler: revisiting loop tiling for direct memory access
Proceedings of the 19th international conference on Parallel architectures and compilation techniques
ISBI'10 Proceedings of the 2010 IEEE international conference on Biomedical imaging: from nano to Macro
Deconvolution of poissonian images via iterative shrinkage
ISBI'10 Proceedings of the 2010 IEEE international conference on Biomedical imaging: from nano to Macro
Power-optimized multi-hop multi-branch amplify-and-forward cooperative systems
ISWPC'10 Proceedings of the 5th IEEE international conference on Wireless pervasive computing
Fair energy-efficient resource allocation in wireless sensor networks over fading TDMA channels
IEEE Journal on Selected Areas in Communications - Special issue on simple wireless sensor networking solutions
Network lifetime optimization in wireless sensor networks
IEEE Journal on Selected Areas in Communications - Special issue on simple wireless sensor networking solutions
Noise enhanced hypothesis-testing in the restricted Bayesian framework
IEEE Transactions on Signal Processing
Multiuser MISO transmitter optimization for intercell interference mitigation
IEEE Transactions on Signal Processing
IEEE Transactions on Signal Processing
Cooperative resource allocation games under spectral mask and total power constraints
IEEE Transactions on Signal Processing
Game-theoretic approach for QoS-aware resource competition in wireless networks
MILCOM'09 Proceedings of the 28th IEEE conference on Military communications
ℓ1-Sparse reconstruction of sharp point set surfaces
ACM Transactions on Graphics (TOG)
Variational inference for adaptor grammars
HLT '10 Human Language Technologies: The 2010 Annual Conference of the North American Chapter of the Association for Computational Linguistics
Learning Translation Invariant Kernels for Classification
The Journal of Machine Learning Research
Spectral Regularization Algorithms for Learning Large Incomplete Matrices
The Journal of Machine Learning Research
The Journal of Machine Learning Research
Distributed stochastic optimization in opportunistic networks: the case of optimal relay selection
Proceedings of the 5th ACM workshop on Challenged networks
Majority Merging: from Boolean Spaces to Affine Spaces
Proceedings of the 2010 conference on ECAI 2010: 19th European Conference on Artificial Intelligence
Soft Nearest Convex Hull Classifier
Proceedings of the 2010 conference on ECAI 2010: 19th European Conference on Artificial Intelligence
A new look at state-space models for neural data
Journal of Computational Neuroscience
Journal of Computational Neuroscience
On the sum rate of Gaussian multiterminal source coding: new proofs and results
IEEE Transactions on Information Theory
Optimized query forgery for private information retrieval
IEEE Transactions on Information Theory
Optimum power allocation and bit loading for BICM systems
IEEE Transactions on Communications
Distributed margin adaptive resource allocation in MIMO OFDMA networks
IEEE Transactions on Communications
Spectrum auction games for multimedia streaming over cognitive radio networks
IEEE Transactions on Communications
IEEE Transactions on Information Forensics and Security
Design of recurrent neural networks for solving constrained least absolute deviation problems
IEEE Transactions on Neural Networks
A dynamic cost weighting framework for unit selection text-to-speech synthesis
IEEE Transactions on Audio, Speech, and Language Processing
Risk-distortion analysis for video collusion attacks: a mouse-and-cat game
IEEE Transactions on Image Processing
Multiuser cooperative multiplexing with interference suppression in wireless relay networks
IEEE Transactions on Wireless Communications
IEEE Transactions on Wireless Communications
Signal recovery with cost-constrained measurements
IEEE Transactions on Signal Processing
Power control strategy for distributed multiple-hypothesis detection
IEEE Transactions on Signal Processing
Cooperative transmission for wireless relay networks using limited feedback
IEEE Transactions on Signal Processing
Optimizing multiple objectives in collaborative filtering
Proceedings of the fourth ACM conference on Recommender systems
Computer-generated residential building layouts
ACM SIGGRAPH Asia 2010 papers
Modified-CS: modifying compressive sensing for problems with partially known support
IEEE Transactions on Signal Processing
IEEE Transactions on Signal Processing
Distributive stochastic learning for delay-optimal OFDMA power and subband allocation
IEEE Transactions on Signal Processing
Efficient recursive estimators for a linear, time-varying Gaussian model with general constraints
IEEE Transactions on Signal Processing
A Doppler robust max-min approach to radar code design
IEEE Transactions on Signal Processing
IEEE Transactions on Signal Processing
Delay-minimal transmission for average power constrained multi-access communications
IEEE Transactions on Wireless Communications
Energy-constrained link adaptation for MIMO OFDM wireless communication systems
IEEE Transactions on Wireless Communications
An OFDM based MAC protocol for underwater acoustic networks
Proceedings of the Fifth ACM International Workshop on UnderWater Networks
Linear-speed interior-path algorithms for distributed control of information networks
Performance Evaluation
Privacy-aware regression modeling of participatory sensing data
Proceedings of the 8th ACM Conference on Embedded Networked Sensor Systems
Near-duplicate keyframe retrieval by semi-supervised learning and nonrigid image matching
ACM Transactions on Multimedia Computing, Communications, and Applications (TOMCCAP)
Minimum MSE design for multiuser MIMO relay
IEEE Communications Letters
Finite-SNR diversity-multiplexing tradeoff via asymptotic analysis of large MIMO systems
IEEE Transactions on Information Theory
Maximum mutual information design for MIMO systems with imperfect channel knowledge
IEEE Transactions on Information Theory
IEEE Transactions on Information Theory
Interior point decoding for linear vector channels based on convex optimization
IEEE Transactions on Information Theory
Scalable large-margin Mahalanobis distance metric learning
IEEE Transactions on Neural Networks
Optimization of an on-chip active cooling system based on thin-film thermoelectric coolers
Proceedings of the Conference on Design, Automation and Test in Europe
An integrated framework for joint design space exploration of microarchitecture and circuits
Proceedings of the Conference on Design, Automation and Test in Europe
Intent-leveraged optimization of analog circuits via homotopy
Proceedings of the Conference on Design, Automation and Test in Europe
Simultaneous budget and buffer size computation for throughput-constrained task graphs
Proceedings of the Conference on Design, Automation and Test in Europe
Combining optimizations in automated low power design
Proceedings of the Conference on Design, Automation and Test in Europe
A unified optimization framework for robust pseudo-relevance feedback algorithms
CIKM '10 Proceedings of the 19th ACM international conference on Information and knowledge management
Minimum Correlation Spreading Codes Design
Wireless Personal Communications: An International Journal
Partitioning procedure for polynomial optimization
Journal of Global Optimization
Efficient large-scale image annotation by probabilistic collaborative multi-label propagation
Proceedings of the international conference on Multimedia
A generic framework for event detection in various video domains
Proceedings of the international conference on Multimedia
Discriminative codeword selection for image representation
Proceedings of the international conference on Multimedia
Interactive retrieval of targets for wide area surveillance
Proceedings of the international conference on Multimedia
Time and memory tradeoffs in the implementation of AUTOSAR components
Proceedings of the Conference on Design, Automation and Test in Europe
Finite precision bit-width allocation using SAT-modulo theory
Proceedings of the Conference on Design, Automation and Test in Europe
Consistent image decoding from multiple lossy versions
Proceedings of the 2010 ACM workshop on Advanced video streaming techniques for peer-to-peer networks and social networking
Amplify-and-forward two-way relay networks: error exponents and resource allocation
IEEE Transactions on Communications
MIMO radar detection and adaptive design under a phase synchronization mismatch
IEEE Transactions on Signal Processing
Cooperative interference management with MISO beamforming
IEEE Transactions on Signal Processing
Switching logic synthesis for reachability
EMSOFT '10 Proceedings of the tenth ACM international conference on Embedded software
Online robust optimization framework for QoS guarantees in distributed soft real-time systems
EMSOFT '10 Proceedings of the tenth ACM international conference on Embedded software
Content pricing in peer-to-peer networks
Proceedings of the 2010 Workshop on Economics of Networks, Systems, and Computation
The Gelfand widths of lp-balls for 0
Journal of Complexity
Learning contextual metrics for automatic image annotation
PCM'10 Proceedings of the 11th Pacific Rim conference on Advances in multimedia information processing: Part I
Face image relighting using locally constrained global optimization
ECCV'10 Proceedings of the 11th European conference on Computer vision: Part IV
Occlusion boundary detection using pseudo-depth
ECCV'10 Proceedings of the 11th European conference on Computer vision: Part IV
Removing redundant quadratic constraints
ICMS'10 Proceedings of the Third international congress conference on Mathematical software
Direct sparse nearest feature classifier for face recognition
LSMS/ICSEE'10 Proceedings of the 2010 international conference on Life system modeling and simulation and intelligent computing, and 2010 international conference on Intelligent computing for sustainable energy and environment: Part III
ECML PKDD'10 Proceedings of the 2010 European conference on Machine learning and knowledge discovery in databases: Part III
Semi-supervised projection clustering with transferred centroid regularization
ECML PKDD'10 Proceedings of the 2010 European conference on Machine learning and knowledge discovery in databases: Part III
Distance metric learning by minimal distance maximization
Pattern Recognition
Performance and complexity analysis of infinity-norm sphere-decoding
IEEE Transactions on Information Theory
IEEE Transactions on Information Theory
Capacity of channels with frequency-selective and time-selective fading
IEEE Transactions on Information Theory
Channel-optimized quantum error correction
IEEE Transactions on Information Theory
Solving demodulation as an optimization problem
IEEE Transactions on Audio, Speech, and Language Processing
Psychoacoustically constrained and distortion minimized speech enhancement
IEEE Transactions on Audio, Speech, and Language Processing
Multi-antenna based spectrum sensing for cognitive radios: a GLRT approach
IEEE Transactions on Communications
Near-optimal power allocation for MIMO channels with mean or covariance feedback
IEEE Transactions on Communications
On the relationship between belief propagation decoding and joint maximum likelihood detection
IEEE Transactions on Communications
A fast power allocation strategy for OFDM systems
IEEE Transactions on Communications
IEEE Transactions on Communications
Computationally efficient sparse Bayesian learning via belief propagation
IEEE Transactions on Signal Processing
A general criterion for analog Tx-Rx beamforming under OFDM transmissions
IEEE Transactions on Signal Processing
An improved smoothed l0approximation algorithm for sparse representation
IEEE Transactions on Signal Processing
IEEE Transactions on Signal Processing
IEEE Transactions on Signal Processing
A two-step approach to power allocation for OFDM signals over two-way amplify-and-forward relay
IEEE Transactions on Signal Processing
Optimal linear fusion for distributed detection via semidefinite programming
IEEE Transactions on Signal Processing
Barankin-type lower bound on multiple change-point estimation
IEEE Transactions on Signal Processing
Robust MMSE precoding in MIMO channels with pre-fixed receivers
IEEE Transactions on Signal Processing
Cooperative positioning in underwater sensor networks
IEEE Transactions on Signal Processing
Robust mobile terminal tracking in NLOS environments based on data association
IEEE Transactions on Signal Processing
A robust adaptive beamformer based on worst-case semi-definite programming
IEEE Transactions on Signal Processing
On the robustness of transmit beamforming
IEEE Transactions on Signal Processing
Mobile element assisted cooperative localization for wireless sensor networks with obstacles
IEEE Transactions on Wireless Communications
Channel, deadline, and distortion (CD2) aware scheduling for video streams over wireless
IEEE Transactions on Wireless Communications
Optimal multi-channel cooperative sensing in cognitive radio networks
IEEE Transactions on Wireless Communications
Minimax design of IIR digital filters using SDP relaxation technique
IEEE Transactions on Circuits and Systems Part I: Regular Papers
A min-max optimization framework for designing ΣΔ learners: theory and hardware
IEEE Transactions on Circuits and Systems Part I: Regular Papers
Optimality and improvement of dynamic voltage scaling algorithms for multimedia applications
IEEE Transactions on Circuits and Systems Part I: Regular Papers
Genetic search algorithms to fuzzy multiobjective games: a mathematica implementation
ACS'10 Proceedings of the 10th WSEAS international conference on Applied computer science
Power allocation in distributed detection with wireless sensor networks
MILCOM'06 Proceedings of the 2006 IEEE conference on Military communications
ROSETTA: robust and secure mobile target tracking in a wireless ad hoc environment
MILCOM'06 Proceedings of the 2006 IEEE conference on Military communications
Energy-efficient TDMA with quantized channel state information
MILCOM'06 Proceedings of the 2006 IEEE conference on Military communications
Peak-to-average power ratio optimization of hybrid OFDMA and pre-coded CDMA reverse link
MILCOM'06 Proceedings of the 2006 IEEE conference on Military communications
A Hamilton-Jacobi-Bellman approach to optimal trade execution
Applied Numerical Mathematics
Performing and extending aggressive maneuvers using iterative learning control
Robotics and Autonomous Systems
Paradoxes in Learning and the Marginal Value of Information
Decision Analysis
Riemannian Metric and Geometric Mean for Positive Semidefinite Matrices of Fixed Rank
SIAM Journal on Matrix Analysis and Applications
An Improved Arc Algorithm for Detecting Definite Hermitian Pairs
SIAM Journal on Matrix Analysis and Applications
Interior-Point Method for Nuclear Norm Approximation with Application to System Identification
SIAM Journal on Matrix Analysis and Applications
Proceedings of the 2010 Asia and South Pacific Design Automation Conference
Journal of Global Optimization
Disturbance Analysis of Nonlinear Differential Equation Models of Genetic SUM Regulatory Networks
IEEE/ACM Transactions on Computational Biology and Bioinformatics (TCBB)
A Sparse Learning Machine for High-Dimensional Data with Application to Microarray Gene Analysis
IEEE/ACM Transactions on Computational Biology and Bioinformatics (TCBB)
An architecture for parallel topic models
Proceedings of the VLDB Endowment
Adaptive multi-task monitoring system based on overhead prediction
Proceedings of the Workshop on Programmable Routers for Extensible Services of Tomorrow
IEEE Communications Letters
On Pinsker's and Vajda's type inequalities for Csiszár's f-divergences
IEEE Transactions on Information Theory
Multiple-input multiple-output Gaussian broadcast channels with common and confidential messages
IEEE Transactions on Information Theory
A cross-layer view of optimal scheduling
IEEE Transactions on Information Theory
Design and analysis of optimal noisy channel quantization with random index assignment
IEEE Transactions on Information Theory
On MIMO detection under non-gaussian target scattering
IEEE Transactions on Information Theory
Outage behavior of slow fading channels with power control using partial and erroneous CSIT
IEEE Transactions on Information Theory
Distributed random access algorithm: scheduling and congestion control
IEEE Transactions on Information Theory
IEEE Transactions on Information Theory
IEEE Journal on Selected Areas in Communications - Special issue on cooperative communications in MIMO cellular networks
Optimization of interference alignment beamforming vectors
IEEE Journal on Selected Areas in Communications - Special issue on cooperative communications in MIMO cellular networks
Cooperative multi-cell block diagonalization with per-base-station power constraints
IEEE Journal on Selected Areas in Communications - Special issue on cooperative communications in MIMO cellular networks
Linear precoding in cooperative MIMO cellular networks with limited coordination clusters
IEEE Journal on Selected Areas in Communications - Special issue on cooperative communications in MIMO cellular networks
Sunfloor 3D: a tool for networks on chip topology synthesis for 3-D systems on chips
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
Distance metric learning for content identification
IEEE Transactions on Information Forensics and Security
Decentralized feedback controllers for multiagent teams in environments with obstacles
IEEE Transactions on Robotics
Training sequence design for discriminatory channel estimation in wireless MIMO systems
IEEE Transactions on Signal Processing
Statistical precoding with decision feedback equalization over a correlated MIMO channel
IEEE Transactions on Signal Processing
Outage-efficient strategies for multiuser MIMO networks with channel distribution information
IEEE Transactions on Signal Processing
Transceiver design for dual-hop nonregenerative MIMO-OFDM relay systems under channel uncertainties
IEEE Transactions on Signal Processing
IEEE Transactions on Signal Processing
Joint routing and resource allocation via superposition coding for wireless data networks
IEEE Transactions on Signal Processing
Effective capacity maximization in multi-antenna channels with covariance feedback
IEEE Transactions on Wireless Communications
IEEE Transactions on Wireless Communications
Optimizing average performance of OFDM systems using limited-rate feedback
IEEE Transactions on Wireless Communications
Linear transmission for rate optimization in MIMO broadcast channels
IEEE Transactions on Wireless Communications
Adaptive rate and power transmission in spectrum-sharing systems
IEEE Transactions on Wireless Communications
Joint resource allocation for parallel multi-radio access in heterogeneous wireless networks
IEEE Transactions on Wireless Communications
Cognitive radio with partial channel state information at the transmitter
IEEE Transactions on Wireless Communications
Impact of spatial correlation and precoding design in OSTBC MIMO systems
IEEE Transactions on Wireless Communications
Optimal stochastic signaling for power-constrained binary communications systems
IEEE Transactions on Wireless Communications
IEEE Transactions on Wireless Communications
IEEE Transactions on Wireless Communications
Power allocation in MMSE relaying over frequency-selective Rayleigh fading channels
IEEE Transactions on Communications
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
Convex piecewise-linear modeling method for circuit optimization via geometric programming
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
Linear coherent distributed estimation over unknown channels
Signal Processing
Fully Distribution-Free Profit Maximization: The Inventory Management Case
Mathematics of Operations Research
Normalized Cuts Revisited: A Reformulation for Segmentation with Linear Grouping Constraints
Journal of Mathematical Imaging and Vision
Single-ballot risk-limiting audits using convex optimization
EVT/WOTE'10 Proceedings of the 2010 international conference on Electronic voting technology/workshop on trustworthy elections
Classifying motor imagery EEG signals by iterative channel elimination according to compound weight
AICI'10 Proceedings of the 2010 international conference on Artificial intelligence and computational intelligence: Part II
3D deformable face tracking with a commodity depth camera
ECCV'10 Proceedings of the 11th European conference on computer vision conference on Computer vision: Part III
MRF inference by k-fan decomposition and tight Lagrangian relaxation
ECCV'10 Proceedings of the 11th European conference on computer vision conference on Computer vision: Part III
Sparse substring pattern set discovery using linear programming boosting
DS'10 Proceedings of the 13th international conference on Discovery science
L-infinity norm minimization in the multiview triangulation
AICI'10 Proceedings of the 2010 international conference on Artificial intelligence and computational intelligence: Part I
Joint iterativeTx/Rx MMSE-FDE and ISICancellation for single-carrier hybrid ARQ with chase combining
EURASIP Journal on Advances in Signal Processing - Special issue on advances in single carrier block modulation with frequency domain processing
Cross-layer design in dynamic spectrum sharing systems
EURASIP Journal on Wireless Communications and Networking - Special issue on adaptive cross-layer strategies for fourth generation wireless communications
Primal decomposition-based method for weighted sum-rate maximization in downlink OFDMA systems
EURASIP Journal on Wireless Communications and Networking
EURASIP Journal on Wireless Communications and Networking
TOA estimator for UWB backscattering RFID system with clutter suppression capability
EURASIP Journal on Wireless Communications and Networking
The waterfilling game-theoretical framework for distributed wireless network information flow
EURASIP Journal on Wireless Communications and Networking - Special issue on dynamic spectrum access: from the concept to the implementation
EURASIP Journal on Wireless Communications and Networking - Special issue on femtocell networks
Pricing in noncooperative interference channels for improved energy efficiency
EURASIP Journal on Wireless Communications and Networking - Special issue on interference management in wireless communication systems: theory and applications
Joint power allocation for multicast systems with physical-layer network coding
EURASIP Journal on Wireless Communications and Networking - Special issue on physical-layer network coding for wireless cooperative networks
DTI connectivity by segmentation
MIAR'10 Proceedings of the 5th international conference on Medical imaging and augmented reality
Strong sub-and super-gaussianity
LVA/ICA'10 Proceedings of the 9th international conference on Latent variable analysis and signal separation
Blind extraction of the sparsest component
LVA/ICA'10 Proceedings of the 9th international conference on Latent variable analysis and signal separation
Optimizing merchant revenue with rebates
Proceedings of the fourth ACM international conference on Web search and data mining
Action prediction and identification from mining temporal user behaviors
Proceedings of the fourth ACM international conference on Web search and data mining
A two-view learning approach for image tag ranking
Proceedings of the fourth ACM international conference on Web search and data mining
The Stanford LittleDog: A learning and rapid replanning approach to quadruped locomotion
International Journal of Robotics Research
Adaptive Self-Learning Resource Allocation Scheme for Unlicensed Users in High-Rate UWB Systems
Wireless Personal Communications: An International Journal
Mobile Networks and Applications
Resource allocation for parallel Gaussian MIMO wire-tap channels
IEEE Communications Letters
Resource allocation for mitigating the effect of sensing errors in cognitive radio networks
IEEE Communications Letters
On the computation of the capacity region of the discrete MAC
IEEE Transactions on Communications
Projection on convex set and its application in testing force closure properties of robotic grasping
ICIRA'10 Proceedings of the Third international conference on Intelligent robotics and applications - Volume Part II
Robust robotic grasping force optimization with uncertainty
ICIRA'10 Proceedings of the Third international conference on Intelligent robotics and applications - Volume Part II
The networked common goods game
COCOA'10 Proceedings of the 4th international conference on Combinatorial optimization and applications - Volume Part II
Hessian optimal design for image retrieval
Pattern Recognition
RASP: efficient multidimensional range query on attack-resilient encrypted databases
Proceedings of the first ACM conference on Data and application security and privacy
An application of Newton's method in wireless systems
Proceedings of the 8th International Conference on Frontiers of Information Technology
Robust semidefinite relaxations for a quadratic OFDMA resource allocation scheme
Computers and Operations Research
Exact optimization for the l1-Compressive Sensing problem using a modified Dantzig-Wolfe method
Theoretical Computer Science
Saddle-point properties and nash equilibria for channel games
EURASIP Journal on Advances in Signal Processing - Special issue on game theory in signal processing and communications
An active constraint method for distributed routing, and power control in wireless networks
EURASIP Journal on Wireless Communications and Networking
Physical layer security game: interaction between source, eavesdropper, and friendly jammer
EURASIP Journal on Wireless Communications and Networking - Special issue on wireless physical layer security
A utility-theoretic approach to privacy in online services
Journal of Artificial Intelligence Research
Ordinal-class core vector machine
Journal of Computer Science and Technology
Extending open dynamics engine for robotics simulation
SIMPAR'10 Proceedings of the Second international conference on Simulation, modeling, and programming for autonomous robots
Design of network topology in an adversarial environment
GameSec'10 Proceedings of the First international conference on Decision and game theory for security
An FPGA implementation of a sparse quadratic programming solver for constrained predictive control
Proceedings of the 19th ACM/SIGDA international symposium on Field programmable gate arrays
Register pressure aware scheduling for high level synthesis
Proceedings of the 16th Asia and South Pacific Design Automation Conference
A lattice matrix method for hyperspectral image unmixing
Information Sciences: an International Journal
Sparse Semi-supervised Learning Using Conjugate Functions
The Journal of Machine Learning Research
Dual Averaging Methods for Regularized Stochastic Learning and Online Optimization
The Journal of Machine Learning Research
Topology Selection in Graphical Models of Autoregressive Processes
The Journal of Machine Learning Research
Improved Moves for Truncated Convex Models
The Journal of Machine Learning Research
Multitask Sparsity via Maximum Entropy Discrimination
The Journal of Machine Learning Research
Learning Multi-modal Similarity
The Journal of Machine Learning Research
Variable Sparsity Kernel Learning
The Journal of Machine Learning Research
EURASIP Journal on Advances in Signal Processing
Uplink cross-layer scheduling with differential QoS requirements in OFDMA systems
EURASIP Journal on Wireless Communications and Networking - Special issue on adaptive cross-layer strategies for fourth generation wireless communications
EURASIP Journal on Wireless Communications and Networking - Special issue on signal processing-assisted protocols and algorithms for cooperating objects and wireless sensor networks
$O(\sqrt{\logn})$ Approximation to SPARSEST CUT in $\tilde{O}(n^2)$ Time
SIAM Journal on Computing
Unique Games with Entangled Provers Are Easy
SIAM Journal on Computing
Rationality and Strongly Polynomial Solvability of Eisenberg-Gale Markets with Two Agents
SIAM Journal on Discrete Mathematics
The Legendre-Fenchel Conjugate of the Product of Two Positive Definite Quadratic Forms
SIAM Journal on Matrix Analysis and Applications
Joint Spectral Characteristics of Matrices: A Conic Programming Approach
SIAM Journal on Matrix Analysis and Applications
Convergence of a Regularized Euclidean Residual Algorithm for Nonlinear Least-Squares
SIAM Journal on Numerical Analysis
A Line Search Multigrid Method for Large-Scale Nonlinear Optimization
SIAM Journal on Optimization
A Singular Value Thresholding Algorithm for Matrix Completion
SIAM Journal on Optimization
Multilevel Algorithms for Large-Scale Interior Point Methods
SIAM Journal on Scientific Computing
SIAM Journal on Scientific Computing
Surface Reconstruction and Image Enhancement via $L^1$-Minimization
SIAM Journal on Scientific Computing
Low-Rank Optimization on the Cone of Positive Semidefinite Matrices
SIAM Journal on Optimization
SIAM Journal on Optimization
Leveraging dynamic spare capacity in wireless systems to conserve mobile terminals' energy
IEEE/ACM Transactions on Networking (TON)
Rate control with pairwise intersession network coding
IEEE/ACM Transactions on Networking (TON)
A distributed CSMA algorithm for throughput and utility maximization in wireless networks
IEEE/ACM Transactions on Networking (TON)
Fast algorithms for resource allocation in wireless cellular networks
IEEE/ACM Transactions on Networking (TON)
Path diversity over packet switched networks: performance analysis and rate allocation
IEEE/ACM Transactions on Networking (TON)
Diverse routing in networks with probabilistic failures
IEEE/ACM Transactions on Networking (TON)
Jamming-aware traffic allocation for multiple-path routing using portfolio selection
IEEE/ACM Transactions on Networking (TON)
A unified approach to optimizing performance in networks serving heterogeneous flows
IEEE/ACM Transactions on Networking (TON)
Estimating errors in pins inertial sensor readings with l1-approximation
Automation and Remote Control
Integrating planning and control for single-bodied wheeled mobile robots
Autonomous Robots
The minimum-error discrimination via Helstrom family of ensembles and convex optimization
Quantum Information Processing
The web of topics: discovering the topology of topic evolution in a corpus
Proceedings of the 20th international conference on World wide web
Towards semantic knowledge propagation from text corpus to web images
Proceedings of the 20th international conference on World wide web
Sparse regularization for semi-supervised classification
Pattern Recognition
Asymmetric totally-corrective boosting for real-time object detection
ACCV'10 Proceedings of the 10th Asian conference on Computer vision - Volume Part I
Large-scale dynamic simulation of highly constrained strands
ACM SIGGRAPH 2011 papers
Unsupervised feature selection for salient object detection
ACCV'10 Proceedings of the 10th Asian conference on Computer vision - Volume Part II
Monocular template-based reconstruction of smooth and inextensible surfaces
ACCV'10 Proceedings of the 10th Asian conference on Computer vision - Volume Part III
A convex image segmentation: extending graph cuts and closed-form matting
ACCV'10 Proceedings of the 10th Asian conference on Computer vision - Volume Part III
Totally-corrective multi-class boosting
ACCV'10 Proceedings of the 10th Asian conference on Computer vision - Volume Part IV
Occlusion handling with l1-regularized sparse reconstruction
ACCV'10 Proceedings of the 10th Asian conference on Computer vision - Volume Part IV
An approximation algorithm for computing minimum-length polygons in 3D images
ACCV'10 Proceedings of the 10th Asian conference on Computer vision - Volume Part IV
Abstract convex evolutionary search
Proceedings of the 11th workshop proceedings on Foundations of genetic algorithms
Resource constrained LQR control under fast sampling
Proceedings of the 14th international conference on Hybrid systems: computation and control
Reputation-based networked control with data-corrupting channels
Proceedings of the 14th international conference on Hybrid systems: computation and control
Partial information relaying with multiple relays and destination nodes
Proceedings of the 5th International Conference on Ubiquitous Information Management and Communication
Joint Subchannel, Rate and Power Allocation in OFDMA-Based Cognitive Wireless Mesh Network
Wireless Personal Communications: An International Journal
Scheduling in Wireless Networks
Foundations and Trends® in Networking
Theory and Use of the EM Algorithm
Foundations and Trends in Signal Processing
Handling intra-die variations in PSTA
Proceedings of the 21st edition of the great lakes symposium on Great lakes symposium on VLSI
Quality of service regulation in secure body area networks: system modeling and adaptation methods
EURASIP Journal on Wireless Communications and Networking - Special issue on towards the connected body: advances in body communications
Channel frequency response estimation for MIMO systems with frequency-domain equalization
EURASIP Journal on Advances in Signal Processing - Special issue on advances in single carrier block modulation with frequency domain processing
Adaptive resource allocation with strict delay constraints in OFDMA system
EURASIP Journal on Wireless Communications and Networking
Adaptive modulation with smoothed flow utility
EURASIP Journal on Wireless Communications and Networking
EURASIP Journal on Wireless Communications and Networking
Power allocation games in interference relay channels: existence analysis of nash equilibria
EURASIP Journal on Wireless Communications and Networking
A box constrained gradient projection algorithm for compressed sensing
Signal Processing
A Bayesian Lasso via reversible-jump MCMC
Signal Processing
Measuring and repairing inconsistency in probabilistic knowledge bases
International Journal of Approximate Reasoning
CoPhy: a scalable, portable, and interactive index advisor for large workloads
Proceedings of the VLDB Endowment
Routing (un-) splittable flow in games with player-specific affine latency functions
ACM Transactions on Algorithms (TALG)
5-axis flank milling free-form surfaces considering constraints
Computer-Aided Design
Cross-layer optimization of wireless multihop networks with one-hop two-way network coding
Computer Networks: The International Journal of Computer and Telecommunications Networking
Computing the polyadic decomposition of nonnegative third order tensors
Signal Processing
The LCCP for optimizing kernel parameters for SVM
ICANN'05 Proceedings of the 15th international conference on Artificial neural networks: formal models and their applications - Volume Part II
Componentwise support vector machines for structure detection
ICANN'05 Proceedings of the 15th international conference on Artificial neural networks: formal models and their applications - Volume Part II
Efficient robust digital hyperplane fitting with bounded error
DGCI'11 Proceedings of the 16th IAPR international conference on Discrete geometry for computer imagery
Generalizing the template polyhedral domain
ESOP'11/ETAPS'11 Proceedings of the 20th European conference on Programming languages and systems: part of the joint European conferences on theory and practice of software
Model repair for probabilistic systems
TACAS'11/ETAPS'11 Proceedings of the 17th international conference on Tools and algorithms for the construction and analysis of systems: part of the joint European conferences on theory and practice of software
The Irrevocable Multiarmed Bandit Problem
Operations Research
A new scheme to learn a kernel in regularization networks
Neurocomputing
Learning low-rank kernel matrices for constrained clustering
Neurocomputing
Differentially private data cubes: optimizing noise sources and consistency
Proceedings of the 2011 ACM SIGMOD International Conference on Management of data
Near linear-work parallel SDD solvers, low-diameter decomposition, and low-stretch subgraphs
Proceedings of the twenty-third annual ACM symposium on Parallelism in algorithms and architectures
Brief announcement: reclaiming the energy of a schedule, models and algorithms
Proceedings of the twenty-third annual ACM symposium on Parallelism in algorithms and architectures
Optimizing data partitioning for data-parallel computing
HotOS'13 Proceedings of the 13th USENIX conference on Hot topics in operating systems
Optimal multiuser zero forcing with per-antenna power constraints for network MIMO coordination
EURASIP Journal on Wireless Communications and Networking - Special issue on multimedia communications over next generation wireless networks
Finding the energy efficient curve: gate sizing for minimum power under delay constraints
VLSI Design - Special issue on CAD for Gigascale SoC Design and Verification Solutions
A revealed preference approach to computational complexity in economics
Proceedings of the 12th ACM conference on Electronic commerce
A truthful randomized mechanism for combinatorial public projects via convex optimization
Proceedings of the 12th ACM conference on Electronic commerce
An optimization-based framework for automated market-making
Proceedings of the 12th ACM conference on Electronic commerce
Greening geographical load balancing
Proceedings of the ACM SIGMETRICS joint international conference on Measurement and modeling of computer systems
On the stability and optimality of universal swarms
Proceedings of the ACM SIGMETRICS joint international conference on Measurement and modeling of computer systems
Convexity conditions of Kantorovich function and related semi-infinite linear matrix inequalities
Journal of Computational and Applied Mathematics
Computer Networks: The International Journal of Computer and Telecommunications Networking
Sequence classification via large margin hidden Markov models
Data Mining and Knowledge Discovery
Computational Optimization and Applications
CalCS: SMT solving for non-linear convex constraints
Proceedings of the 2010 Conference on Formal Methods in Computer-Aided Design
A fast approach to deformable surface 3D tracking
Pattern Recognition
Global optimization of wavelet-domain hidden Markov tree for image segmentation
Pattern Recognition
Robust network planning in nonuniform traffic scenarios
Computer Communications
A regularized correntropy framework for robust pattern recognition
Neural Computation
Resource allocation for QOS-aware OFDMA cellular networks with cooperative relaying
WSEAS TRANSACTIONS on COMMUNICATIONS
Why initialization matters for IBM model 1: multiple optima and non-strict convexity
HLT '11 Proceedings of the 49th Annual Meeting of the Association for Computational Linguistics: Human Language Technologies: short papers - Volume 2
Analog layout retargeting using geometric programming
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Quantifying entanglement of two relativistic particles using optimal entanglement witness
Quantum Information Processing
Greening geographical load balancing
ACM SIGMETRICS Performance Evaluation Review - Performance evaluation review
On the stability and optimality of universal swarms
ACM SIGMETRICS Performance Evaluation Review - Performance evaluation review
ISNN'11 Proceedings of the 8th international conference on Advances in neural networks - Volume Part I
SCIA'11 Proceedings of the 17th Scandinavian conference on Image analysis
Composite hashing with multiple information sources
Proceedings of the 34th international ACM SIGIR conference on Research and development in Information Retrieval
Document clustering with universum
Proceedings of the 34th international ACM SIGIR conference on Research and development in Information Retrieval
TCP performance optimization in multi-cell WLANs
Performance Evaluation
Nonlinear and linear entanglement witnesses for bipartite systems via exact convex optimization
Quantum Information & Computation
A family of norms with applications in quantum information theory II
Quantum Information & Computation
A non-distillability criterion for secret correlations
Quantum Information & Computation
An introduction to entanglement measures
Quantum Information & Computation
Quantum Information & Computation
Robust cryptography in the noisy-quantum-storage model
Quantum Information & Computation
Click shaping to optimize multiple objectives
Proceedings of the 17th ACM SIGKDD international conference on Knowledge discovery and data mining
Anomaly localization for network data streams with graph joint sparse PCA
Proceedings of the 17th ACM SIGKDD international conference on Knowledge discovery and data mining
lp-Norm Multiple Kernel Learning
The Journal of Machine Learning Research
Differentially Private Empirical Risk Minimization
The Journal of Machine Learning Research
Laplacian Support Vector Machines Trained in the Primal
The Journal of Machine Learning Research
A Family of Simple Non-Parametric Kernel Learning Algorithms
The Journal of Machine Learning Research
Super-Linear Convergence of Dual Augmented Lagrangian Algorithm for Sparsity Regularized Estimation
The Journal of Machine Learning Research
Double Updating Online Learning
The Journal of Machine Learning Research
Adaptive Subgradient Methods for Online Learning and Stochastic Optimization
The Journal of Machine Learning Research
Proximal Methods for Hierarchical Sparse Coding
The Journal of Machine Learning Research
Joint shape segmentation with linear programming
Proceedings of the 2011 SIGGRAPH Asia Conference
Interference-aware geometric modeling
Proceedings of the 2011 SIGGRAPH Asia Conference
Deconvolving Poissonian images by a novel hybrid variational model
Journal of Visual Communication and Image Representation
Non-uniform geometric matchings
ICCSA'11 Proceedings of the 2011 international conference on Computational science and its applications - Volume Part III
Nonnegative factorization of diffusion tensor images and its applications
IPMI'11 Proceedings of the 22nd international conference on Information processing in medical imaging
Active multiple kernel learning for interactive 3D object retrieval systems
ACM Transactions on Interactive Intelligent Systems (TiiS)
Quantile-Parameterized Distributions
Decision Analysis
MobiCom '11 Proceedings of the 17th annual international conference on Mobile computing and networking
Multi-task clustering via domain adaptation
Pattern Recognition
Support vector methods for survival analysis: a comparison between ranking and regression approaches
Artificial Intelligence in Medicine
Evaluation of a first-order primal-dual algorithm for MRF energy minimization
EMMCVPR'11 Proceedings of the 8th international conference on Energy minimization methods in computer vision and pattern recognition
Efficient maximum weighted sum-rate computation for multiple input single output broadcast channels
WASA'11 Proceedings of the 6th international conference on Wireless algorithms, systems, and applications
WASA'11 Proceedings of the 6th international conference on Wireless algorithms, systems, and applications
Dual-decomposition approach for distributed optimization in wireless sensor networks
WASA'11 Proceedings of the 6th international conference on Wireless algorithms, systems, and applications
Lossy data aggregation with network coding in stand-alone wireless sensor networks
NEW2AN'11/ruSMART'11 Proceedings of the 11th international conference and 4th international conference on Smart spaces and next generation wired/wireless networking
On the generation of positivstellensatz witnesses in degenerate cases
ITP'11 Proceedings of the Second international conference on Interactive theorem proving
Lagrange dual decomposition for finite horizon Markov decision processes
ECML PKDD'11 Proceedings of the 2011 European conference on Machine learning and knowledge discovery in databases - Volume Part I
Linear discriminant dimensionality reduction
ECML PKDD'11 Proceedings of the 2011 European conference on Machine learning and knowledge discovery in databases - Volume Part I
A novel framework for locating software faults using latent divergences
ECML PKDD'11 Proceedings of the 2011 European conference on Machine learning and knowledge discovery in databases - Volume Part III
Optimal Relay Selection for Energy-Efficient Multicast
Wireless Personal Communications: An International Journal
Journal of Global Optimization
A Spatial Regularization Approach for Vector Quantization
Journal of Mathematical Imaging and Vision
Maximum entropy models and subjective interestingness: an application to tiles in binary databases
Data Mining and Knowledge Discovery
Grouping Algorithm for Partner Selection in Cooperative Transmission
Wireless Personal Communications: An International Journal
Rate control-based framework and algorithm for optimal provisioning
Photonic Network Communications
Semi-Markov conditional random fields for accelerometer-based activity recognition
Applied Intelligence
Performance-aware scheduler synthesis for control systems
EMSOFT '11 Proceedings of the ninth ACM international conference on Embedded software
Non-cooperative spectrum access in cognitive radio networks: A game theoretical model
Computer Networks: The International Journal of Computer and Telecommunications Networking
Energy-aware capacity scaling in virtualized environments with performance guarantees
Performance Evaluation
Context change detection for resource allocation in service-oriented systems
KES'11 Proceedings of the 15th international conference on Knowledge-based and intelligent information and engineering systems - Volume Part II
How bad are selfish investments in network security?
IEEE/ACM Transactions on Networking (TON)
Approaching throughput-optimality in distributed CSMA scheduling algorithms with collisions
IEEE/ACM Transactions on Networking (TON)
On combining shortest-path and back-pressure routing over multihop wireless networks
IEEE/ACM Transactions on Networking (TON)
IEEE/ACM Transactions on Networking (TON)
A multi-task adaptive monitoring system combining different sampling primitives
Proceedings of the 23rd International Teletraffic Congress
MG-Local: a multivariable control framework for optimal wireless resource management
Proceedings of the 23rd International Teletraffic Congress
Joint mobile energy replenishment and data gathering in wireless rechargeable sensor networks
Proceedings of the 23rd International Teletraffic Congress
EM+TV based reconstruction for cone-beam CT with reduced radiation
ISVC'11 Proceedings of the 7th international conference on Advances in visual computing - Volume Part I
EM-type algorithms for image reconstruction with background emission and Poisson noise
ISVC'11 Proceedings of the 7th international conference on Advances in visual computing - Volume Part I
Efficient bounded reachability computation for rectangular automata
RP'11 Proceedings of the 5th international conference on Reachability problems
Convex-based thermal management for 3D MPSoCs using DVFS and variable-flow liquid cooling
PATMOS'11 Proceedings of the 21st international conference on Integrated circuit and system design: power and timing modeling, optimization, and simulation
Estimating the fundamental matrix using second-order cone programming
AICI'11 Proceedings of the Third international conference on Artificial intelligence and computational intelligence - Volume Part III
Symmetric self-Hilbertian filters via extended zero-pinning
Signal Processing
Manufacturing & Service Operations Management
The price of forgetting in parallel and non-observable queues
Performance Evaluation
Accelerated training of max-margin Markov networks with kernels
ALT'11 Proceedings of the 22nd international conference on Algorithmic learning theory
Speedy local search for semi-supervised regularized least-squares
KI'11 Proceedings of the 34th Annual German conference on Advances in artificial intelligence
Interactive robot trajectory planning and simulation using Augmented Reality
Robotics and Computer-Integrated Manufacturing
Speech emotion recognition system based on L1 regularized linear regression and decision fusion
ACII'11 Proceedings of the 4th international conference on Affective computing and intelligent interaction - Volume Part II
Content based social behavior prediction: a multi-task learning approach
Proceedings of the 20th ACM international conference on Information and knowledge management
Correlated multi-label feature selection
Proceedings of the 20th ACM international conference on Information and knowledge management
Towards feature selection in network
Proceedings of the 20th ACM international conference on Information and knowledge management
Parallel and distributed vision algorithms using dual decomposition
Computer Vision and Image Understanding
Computer Vision and Image Understanding
Utilization-based pricing for power management and profit optimization in data centers
Journal of Parallel and Distributed Computing
DS-RT '11 Proceedings of the 2011 IEEE/ACM 15th International Symposium on Distributed Simulation and Real Time Applications
A dynamical model for solving degenerate quadratic minimax problems with constraints
Journal of Computational and Applied Mathematics
Anti-sampling-distortion compressive wideband spectrum sensing for Cognitive Radio
International Journal of Mobile Communications
ImageSense: Towards contextual image advertising
ACM Transactions on Multimedia Computing, Communications, and Applications (TOMCCAP)
Risk management for video-on-demand servers leveraging demand forecast
MM '11 Proceedings of the 19th ACM international conference on Multimedia
Laplacian adaptive context-based SVM for video concept detection
WSM '11 Proceedings of the 3rd ACM SIGMM international workshop on Social media
Differential dynamic logics: automated theorem proving for hybrid systems
Differential dynamic logics: automated theorem proving for hybrid systems
Monitoring a complex physical system using a hybrid dynamic bayes net
UAI'02 Proceedings of the Eighteenth conference on Uncertainty in artificial intelligence
NOLISP'11 Proceedings of the 5th international conference on Advances in nonlinear speech processing
Chebyshev center based column generation
Discrete Applied Mathematics
Convex and Network Flow Optimization for Structured Sparsity
The Journal of Machine Learning Research
Structured Variable Selection with Sparsity-Inducing Norms
The Journal of Machine Learning Research
Neyman-Pearson Classification, Convexity and Stochastic Constraints
The Journal of Machine Learning Research
Group Lasso Estimation of High-dimensional Covariance Matrices
The Journal of Machine Learning Research
Semi-Supervised Learning with Measure Propagation
The Journal of Machine Learning Research
Fully Distributed Algorithms for Convex Optimization Problems
SIAM Journal on Optimization
Strong Duality in Robust Convex Programming: Complete Characterizations
SIAM Journal on Optimization
Trace Norm Regularization: Reformulations, Algorithms, and Multi-Task Learning
SIAM Journal on Optimization
Large Scale Bayesian Inference and Experimental Design for Sparse Linear Models
SIAM Journal on Imaging Sciences
Convex Formulations of Data Assimilation Problems for a Class of Hamilton-Jacobi Equations
SIAM Journal on Control and Optimization
Fast Methods for Computing the $p$-Radius of Matrices
SIAM Journal on Scientific Computing
Theory and Applications of Robust Optimization
SIAM Review
Hessian Matrix vs. Gauss-Newton Hessian Matrix
SIAM Journal on Numerical Analysis
Combinatorial Continuous Maximum Flow
SIAM Journal on Imaging Sciences
New results on rationality and strongly polynomial time solvability in eisenberg-gale markets
WINE'06 Proceedings of the Second international conference on Internet and Network Economics
Combined ILP and register tiling: analytical model and optimization framework
LCPC'05 Proceedings of the 18th international conference on Languages and Compilers for Parallel Computing
Learning Incoherent Sparse and Low-Rank Patterns from Multiple Tasks
ACM Transactions on Knowledge Discovery from Data (TKDD)
Large Linear Classification When Data Cannot Fit in Memory
ACM Transactions on Knowledge Discovery from Data (TKDD)
Link energy minimization for wireless networks
Ad Hoc Networks
Auction-based P2P VoD streaming: Incentives and optimal scheduling
ACM Transactions on Multimedia Computing, Communications, and Applications (TOMCCAP) - Special Issue on P2P Streaming
Graph based semi-supervised learning with sharper edges
ECML'06 Proceedings of the 17th European conference on Machine Learning
Spatial rank estimation in cognitive radio networks with uncalibrated multiple antennas
Proceedings of the 4th International Conference on Cognitive Radio and Advanced Spectrum Management
Fast ECG baseline wander removal preserving the ST segment
Proceedings of the 4th International Symposium on Applied Sciences in Biomedical and Communication Technologies
Practical global optimization for multiview geometry
ECCV'06 Proceedings of the 9th European conference on Computer Vision - Volume Part I
Concentration and moment inequalities for polynomials of independent random variables
Proceedings of the twenty-third annual ACM-SIAM symposium on Discrete Algorithms
The notion of a rational convex program, and an algorithm for the Arrow-Debreu Nash bargaining game
Proceedings of the twenty-third annual ACM-SIAM symposium on Discrete Algorithms
Computing the least median of squares estimator in time O(nd)
ICCSA'05 Proceedings of the 2005 international conference on Computational Science and its Applications - Volume Part I
Heterogeneous information integration in hierarchical text classification
PAKDD'06 Proceedings of the 10th Pacific-Asia conference on Advances in Knowledge Discovery and Data Mining
EUC'06 Proceedings of the 2006 international conference on Embedded and Ubiquitous Computing
Towards automatic convergence verification of self-stabilizing algorithms
SSS'05 Proceedings of the 7th international conference on Self-Stabilizing Systems
Training multi-layer perceptrons using minimin approach
CIS'05 Proceedings of the 2005 international conference on Computational Intelligence and Security - Volume Part I
Maximum entropy distribution estimation with generalized regularization
COLT'06 Proceedings of the 19th annual conference on Learning Theory
Online learning with variable stage duration
COLT'06 Proceedings of the 19th annual conference on Learning Theory
Online learning meets optimization in the dual
COLT'06 Proceedings of the 19th annual conference on Learning Theory
COLT'06 Proceedings of the 19th annual conference on Learning Theory
COLT'06 Proceedings of the 19th annual conference on Learning Theory
Structure features for content-based image retrieval
PR'05 Proceedings of the 27th DAGM conference on Pattern Recognition
Calculating webpage importance with site structure constraints
AIRS'05 Proceedings of the Second Asia conference on Asia Information Retrieval Technology
The role of non-overlap in image registration
IPMI'05 Proceedings of the 19th international conference on Information Processing in Medical Imaging
Towards optimal rate allocation for data aggregation in wireless sensor networks
MobiHoc '11 Proceedings of the Twelfth ACM International Symposium on Mobile Ad Hoc Networking and Computing
Sparse and silent coding in neural circuits
Neurocomputing
Sparse nonnegative matrix factorization with ℓ0-constraints
Neurocomputing
Well-conditioned configurations of fault-tolerant manipulators
Robotics and Autonomous Systems
On dynamic server provisioning in multichannel P2P live streaming
IEEE/ACM Transactions on Networking (TON)
Provider-customer coalitional games
IEEE/ACM Transactions on Networking (TON)
Understanding cardinality estimation using entropy maximization
ACM Transactions on Database Systems (TODS)
WINE'05 Proceedings of the First international conference on Internet and Network Economics
Maximum lifetime routing and data aggregation for wireless sensor networks
NETWORKING'06 Proceedings of the 5th international IFIP-TC6 conference on Networking Technologies, Services, and Protocols; Performance of Computer and Communication Networks; Mobile and Wireless Communications Systems
Scalable inference in latent variable models
Proceedings of the fifth ACM international conference on Web search and data mining
Post-click conversion modeling and analysis for non-guaranteed delivery display advertising
Proceedings of the fifth ACM international conference on Web search and data mining
Incorporating revisiting behaviors into click models
Proceedings of the fifth ACM international conference on Web search and data mining
Learning to rank with multi-aspect relevance for vertical search
Proceedings of the fifth ACM international conference on Web search and data mining
On the Achievable Rate for Wideband Channels with Estimated CSI
Journal of Signal Processing Systems
Dynamic Resource Allocation in OFDMA-Based DF Cooperative Relay Networks
Wireless Personal Communications: An International Journal
Multi-cell Optimal Downlink Beamforming Algorithm with Per-base Station Power Constraints
Wireless Personal Communications: An International Journal
Resource Allocation in Multi-channel Multi-user Relay System with Fairness Constraints
Wireless Personal Communications: An International Journal
Automatic classification of building types in 3D city models
Geoinformatica
VMCAI'10 Proceedings of the 11th international conference on Verification, Model Checking, and Abstract Interpretation
Partial information relaying with multi-layered superposition coding
ICCSA'10 Proceedings of the 2010 international conference on Computational Science and Its Applications - Volume Part III
ISAAC'05 Proceedings of the 16th international conference on Algorithms and Computation
Generalized machine activation problems
Proceedings of the twenty-second annual ACM-SIAM symposium on Discrete Algorithms
New approximation algorithms for minimum enclosing convex shapes
Proceedings of the twenty-second annual ACM-SIAM symposium on Discrete Algorithms
Maximum-information storage system: concept, implementation and application
Proceedings of the International Conference on Computer-Aided Design
Proceedings of the International Conference on Computer-Aided Design
A probability model for combining ranks
MCS'05 Proceedings of the 6th international conference on Multiple Classifier Systems
Routing (un-) splittable flow in games with player-specific linear latency functions
ICALP'06 Proceedings of the 33rd international conference on Automata, Languages and Programming - Volume Part I
Joint optimization of wireless communication and networked control systems
Switching and Learning in Feedback Systems
General polynomial time decomposition algorithms
COLT'05 Proceedings of the 18th annual conference on Learning Theory
Speed scaling to manage temperature
STACS'05 Proceedings of the 22nd annual conference on Theoretical Aspects of Computer Science
Image deblurring with matrix regression and gradient evolution
Pattern Recognition
Cross-Layer based rate control for lifetime maximization in wireless sensor networks
GPC'10 Proceedings of the 5th international conference on Advances in Grid and Pervasive Computing
Predicting a scientific community's response to an article
EMNLP '11 Proceedings of the Conference on Empirical Methods in Natural Language Processing
Entire relaxation path for maximum entropy problems
EMNLP '11 Proceedings of the Conference on Empirical Methods in Natural Language Processing
Optimizing quality of service in real-time systems under energy constraints
ACM SIGOPS Operating Systems Review
A variant of the trace quotient formulation for dimensionality reduction
ACCV'09 Proceedings of the 9th Asian conference on Computer Vision - Volume Part III
Contextual slip and prediction of student performance after use of an intelligent tutor
UMAP'10 Proceedings of the 18th international conference on User Modeling, Adaptation, and Personalization
Proceedings of the 4th International ICST Conference on Simulation Tools and Techniques
Dynamic power allocation games in parallel multiple access channels
Proceedings of the 5th International ICST Conference on Performance Evaluation Methodologies and Tools
Power allocation in team jamming games in wireless ad hoc networks
Proceedings of the 5th International ICST Conference on Performance Evaluation Methodologies and Tools
On fairness, optimal download performance and proportional replication in peer-to-peer networks
NETWORKING'05 Proceedings of the 4th IFIP-TC6 international conference on Networking Technologies, Services, and Protocols; Performance of Computer and Communication Networks; Mobile and Wireless Communication Systems
Towards a bayesian approach to robust finding correspondences in multiple view geometry environments
ICCS'05 Proceedings of the 5th international conference on Computational Science - Volume Part II
Primal–dual tests for safety and reachability
HSCC'05 Proceedings of the 8th international conference on Hybrid Systems: computation and control
Power – performance optimization for custom digital circuits
PATMOS'05 Proceedings of the 15th international conference on Integrated Circuit and System Design: power and Timing Modeling, Optimization and Simulation
Matrix-valued filters as convex programs
Scale-Space'05 Proceedings of the 5th international conference on Scale Space and PDE Methods in Computer Vision
Performance Analysis on Adaptive Modulation-based BLAST Systems with Queuing Model
Wireless Personal Communications: An International Journal
Automated Mapping of the MapReduce Pattern onto Parallel Computing Platforms
Journal of Signal Processing Systems
The notion of a rational convex program, and an algorithm for the arrow-debreu Nash bargaining game
Journal of the ACM (JACM)
On robust wireless network optimization using network criticality
ACM SIGMETRICS Performance Evaluation Review
Simultaneous clock and data gate sizing algorithm with common global objective
Proceedings of the 2012 ACM international symposium on International Symposium on Physical Design
Towards layout-friendly high-level synthesis
Proceedings of the 2012 ACM international symposium on International Symposium on Physical Design
Constructing uniform designs: A heuristic integer programming method
Journal of Complexity
Estimating human pose from occluded images
ACCV'09 Proceedings of the 9th Asian conference on Computer Vision - Volume Part I
Competitive unlicensed spectrum sharing with partial information on slow fading channels
WWIC'10 Proceedings of the 8th international conference on Wired/Wireless Internet Communications
Efficient device-independent quantum key distribution
EUROCRYPT'10 Proceedings of the 29th Annual international conference on Theory and Applications of Cryptographic Techniques
Design and implementation of a robust sensor data fusion system for unknown signals
DCOSS'10 Proceedings of the 6th IEEE international conference on Distributed Computing in Sensor Systems
NETWORKING'10 Proceedings of the 9th IFIP TC 6 international conference on Networking
An adaptive mechanism for accurate query answering under differential privacy
Proceedings of the VLDB Endowment
Machine Recognition of Music Emotion: A Review
ACM Transactions on Intelligent Systems and Technology (TIST)
Transfer Metric Learning with Semi-Supervised Extension
ACM Transactions on Intelligent Systems and Technology (TIST)
Multivariate convex support vector regression with semidefinite programming
Knowledge-Based Systems
Stochastic resonance in binary composite hypothesis-testing problems in the Neyman-Pearson framework
Digital Signal Processing
Multivariate convex approximation and least-norm convex data-smoothing
ICCSA'06 Proceedings of the 2006 international conference on Computational Science and Its Applications - Volume Part III
On optimizing the security-throughput trade-off in wireless networks with adversaries
ACNS'06 Proceedings of the 4th international conference on Applied Cryptography and Network Security
Robustness of temporal logic specifications
FATES'06/RV'06 Proceedings of the First combined international conference on Formal Approaches to Software Testing and Runtime Verification
Biomechanical simulation of human eye movement
ISBMS'10 Proceedings of the 5th international conference on Biomedical Simulation
Planar scene modeling from quasiconvex subproblems
ACCV'09 Proceedings of the 9th Asian conference on Computer Vision - Volume Part II
Orientation and scale invariant kernel-based object tracking with probabilistic emphasizing
ACCV'09 Proceedings of the 9th Asian conference on Computer Vision - Volume Part II
Solving support vector machines beyond dual programming
ICONIP'11 Proceedings of the 18th international conference on Neural Information Processing - Volume Part II
Node capture games: a game theoretic approach to modeling and mitigating node capture attacks
GameSec'11 Proceedings of the Second international conference on Decision and Game Theory for Security
Silhouette-Based variational methods for single view reconstruction
Proceedings of the 2010 international conference on Video Processing and Computational Video
An algorithm for a generalized maximum subsequence problem
LATIN'06 Proceedings of the 7th Latin American conference on Theoretical Informatics
The state of the art in cross-layer design for wireless sensor networks
EURO-NGI'05 Proceedings of the Second international conference on Wireless Systems and Network Architectures in Next Generation Internet
Measuring and repairing inconsistency in knowledge bases with graded truth
Fuzzy Sets and Systems
Cooperative profit sharing in coalition-based resource allocation in wireless networks
IEEE/ACM Transactions on Networking (TON)
Routing for power minimization in the speed scaling model
IEEE/ACM Transactions on Networking (TON)
Hierarchical matching of non-rigid shapes
SSVM'11 Proceedings of the Third international conference on Scale Space and Variational Methods in Computer Vision
Multi-view laplacian support vector machines
ADMA'11 Proceedings of the 7th international conference on Advanced Data Mining and Applications - Volume Part II
ICIRA'11 Proceedings of the 4th international conference on Intelligent Robotics and Applications - Volume Part II
Constrained weighted sum rate maximization for multicast service in downlink OFDMA
Proceedings of the 6th International Conference on Ubiquitous Information Management and Communication
Optimal classifier based spectrum sensing in cognitive radio wireless systems
Proceedings of the 1st International Conference on Wireless Technologies for Humanitarian Relief
Leader selection games under link noise injection attacks
Proceedings of the 1st international conference on High Confidence Networked Systems
Reflections on simultaneous impact
ACM Transactions on Graphics (TOG) - SIGGRAPH 2012 Conference Proceedings
Computing the viability kernel using maximal reachable sets
Proceedings of the 15th ACM international conference on Hybrid Systems: Computation and Control
Foundations and Trends® in Machine Learning
Optimization with Sparsity-Inducing Penalties
Foundations and Trends® in Machine Learning
Choice of directions for the approximation of reachable sets for hybrid systems
EUROCAST'11 Proceedings of the 13th international conference on Computer Aided Systems Theory - Volume Part I
Decision making as optimization in multi-robot teams
ICDCIT'12 Proceedings of the 8th international conference on Distributed Computing and Internet Technology
Group polytope faces pursuit for recovery of block-sparse signals
LVA/ICA'12 Proceedings of the 10th international conference on Latent Variable Analysis and Signal Separation
On the round-trip 1-center and 1-median problems
WALCOM'12 Proceedings of the 6th international conference on Algorithms and computation
Proceedings of the 7th international conference on Curves and Surfaces
Community detection in incomplete information networks
Proceedings of the 21st international conference on World Wide Web
Multi kernel learning with online-batch optimization
The Journal of Machine Learning Research
Minimax-optimal rates for sparse additive models over kernel classes via convex programming
The Journal of Machine Learning Research
A primal-dual convergence analysis of boosting
The Journal of Machine Learning Research
Exact covariance thresholding into connected components for large-scale graphical lasso
The Journal of Machine Learning Research
Algorithms for learning kernels based on centered alignment
The Journal of Machine Learning Research
Online linear optimization over permutations
ISAAC'11 Proceedings of the 22nd international conference on Algorithms and Computation
Liquidity-sensitive automated market makers via homogeneous risk measures
WINE'11 Proceedings of the 7th international conference on Internet and Network Economics
Supervised subspace learning with multi-class lagrangian SVM on the grassmann manifold
AI'11 Proceedings of the 24th international conference on Advances in Artificial Intelligence
Computational Optimization and Applications
Journal of Global Optimization
A Fast Fixed Point Algorithm for Total Variation Deblurring and Segmentation
Journal of Mathematical Imaging and Vision
A capable neural network model for solving the maximum flow problem
Journal of Computational and Applied Mathematics
Sparse Representation Classifier for microaneurysm detection and retinal blood vessel extraction
Information Sciences: an International Journal
Mathematics and Computers in Simulation
Online optimization for the smart (micro) grid
Proceedings of the 3rd International Conference on Future Energy Systems: Where Energy, Computing and Communication Meet
Reconstructing and analyzing periodic human motion from stationary monocular views
Computer Vision and Image Understanding
Towards a unified architecture for in-RDBMS analytics
SIGMOD '12 Proceedings of the 2012 ACM SIGMOD International Conference on Management of Data
Computation of channel capacity based on self-concordant functions
Journal of Electrical and Computer Engineering
Fast track article: Balancing behavioral privacy and information utility in sensory data flows
Pervasive and Mobile Computing
Inference of Biological S-System Using the Separable Estimation Method and the Genetic Algorithm
IEEE/ACM Transactions on Computational Biology and Bioinformatics (TCBB)
Inferring Gene Regulatory Networks via Nonlinear State-Space Models and Exploiting Sparsity
IEEE/ACM Transactions on Computational Biology and Bioinformatics (TCBB)
VoCS'08 Proceedings of the 2008 international conference on Visions of Computer Science: BCS International Academic Conference
A metric for layout-friendly microarchitecture optimization in high-level synthesis
Proceedings of the 49th Annual Design Automation Conference
Utility-based scheduling in wireless multi-hop networks over non-deterministic fading channels
Computer Networks: The International Journal of Computer and Telecommunications Networking
Proceedings of the 13th ACM Conference on Electronic Commerce
The communication complexity of non-signaling distributions
Quantum Information & Computation
Quantum Information & Computation
Finite-time regional verification of stochastic non-linear systems
International Journal of Robotics Research
A privacy-protecting architecture for collaborative filtering via forgery and suppression of ratings
DPM'11 Proceedings of the 6th international conference, and 4th international conference on Data Privacy Management and Autonomous Spontaneus Security
Journal of Computer and System Sciences
ICAISC'12 Proceedings of the 11th international conference on Artificial Intelligence and Soft Computing - Volume Part II
Adaptive network coding for scheduling real-time traffic with hard deadlines
Proceedings of the thirteenth ACM international symposium on Mobile Ad Hoc Networking and Computing
Distributed network coding-based opportunistic routing for multicast
Proceedings of the thirteenth ACM international symposium on Mobile Ad Hoc Networking and Computing
Asset allocation using reliability method
Mathematical and Computer Modelling: An International Journal
Issues in the real-time computation of optimal control
Mathematical and Computer Modelling: An International Journal
Energy-efficient congestion control
Proceedings of the 12th ACM SIGMETRICS/PERFORMANCE joint international conference on Measurement and Modeling of Computer Systems
An optimum approach for radio resource Allocation(RRA) in mobile WiMAX network
ADCONS'11 Proceedings of the 2011 international conference on Advanced Computing, Networking and Security
Distributionally Robust Markov Decision Processes
Mathematics of Operations Research
Journal of Parallel and Distributed Computing
Mathematical and Computer Modelling: An International Journal
A fast dual projected Newton method for l1-regularized least squares
IJCAI'11 Proceedings of the Twenty-Second international joint conference on Artificial Intelligence - Volume Volume Two
On trivial solution and scale transfer problems in graph regularized NMF
IJCAI'11 Proceedings of the Twenty-Second international joint conference on Artificial Intelligence - Volume Volume Two
Joint feature selection and subspace learning
IJCAI'11 Proceedings of the Twenty-Second international joint conference on Artificial Intelligence - Volume Volume Two
Robust principal component analysis with non-greedy l1-norm maximization
IJCAI'11 Proceedings of the Twenty-Second international joint conference on Artificial Intelligence - Volume Volume Two
IJCAI'11 Proceedings of the Twenty-Second international joint conference on Artificial Intelligence - Volume Volume Two
Risk-sensitive policies for sustainable renewable resource allocation
IJCAI'11 Proceedings of the Twenty-Second international joint conference on Artificial Intelligence - Volume Volume Three
A PTAS for the chance-constrained knapsack problem with random item sizes
Operations Research Letters
Online lot-sizing problems with ordering, holding and shortage costs
Operations Research Letters
An approximate algorithm for a high-multiplicity parallel machine scheduling problem
Operations Research Letters
Robust univariate spline models for interpolating interval data
Operations Research Letters
On competitiveness in uniform utility allocation markets
Operations Research Letters
Full length article: Statistical resource allocation for multi-band Cognitive Radio systems
Physical Communication
Cooperative spectrum sensing in cognitive radio networks: A survey
Physical Communication
Selfish splittable flows and NP-completeness
Computer Science Review
Joint precoding and power allocation for multiuser transmission in MIMO relay networks
International Journal of Communication Systems
Resource allocation for multiuser cognitive OFDM networks with proportional rate constraints
International Journal of Communication Systems
A New TV-Stokes Model with Augmented Lagrangian Method for Image Denoising and Deconvolution
Journal of Scientific Computing
Iterative Design of Concentration Factors for Jump Detection
Journal of Scientific Computing
Optimal source-based filtering of malicious traffic
IEEE/ACM Transactions on Networking (TON)
IEEE/ACM Transactions on Networking (TON)
Power and Time Allocation Between Multiple Channels in Cognitive Radio Networks
Wireless Personal Communications: An International Journal
Shape-Based Object Detection via Boundary Structure Segmentation
International Journal of Computer Vision
Journal of Computational and Applied Mathematics
An SDP approach to multi-level crossing minimization
Journal of Experimental Algorithmics (JEA)
International Journal of Internet Protocol Technology
Proceedings of the 14th annual conference on Genetic and evolutionary computation
InSite: QoE-aware video delivery from cloud data centers
Proceedings of the 2012 IEEE 20th International Workshop on Quality of Service
Maximizing the bandwidth multiplier effect for hybrid cloud-P2P content distribution
Proceedings of the 2012 IEEE 20th International Workshop on Quality of Service
Time-Triggered Implementations of Dynamic Controllers
ACM Transactions on Embedded Computing Systems (TECS) - Special Section on CAPA'09, Special Section on WHS'09, and Special Section VCPSS' 09
Joint optimization of power, packet forwarding and reliability in MIMO wireless sensor networks
Mobile Networks and Applications - Special issue on Wireless and Personal Communications
Proceedings of the 2012 International Symposium on Software Testing and Analysis
Leveraging Social Bookmarks from Partially Tagged Corpus for Improved Web Page Clustering
ACM Transactions on Intelligent Systems and Technology (TIST)
Robust portfolio optimization: a conic programming approach
Computational Optimization and Applications
Log-weight scheduling in switched networks
Queueing Systems: Theory and Applications
Numerical methods for A-optimal designs with a sparsity constraint for ill-posed inverse problems
Computational Optimization and Applications
Cross-Layer Power Allocation for Packet Transmission Over Fading Channel
Wireless Personal Communications: An International Journal
Wireless Personal Communications: An International Journal
Settling time in a linear dynamic system with bounded external disturbances
Automation and Remote Control
An approach to geometric interpolation by Pythagorean-hodograph curves
Advances in Computational Mathematics
Linear support vector machines via dual cached loops
Proceedings of the 18th ACM SIGKDD international conference on Knowledge discovery and data mining
GigaTensor: scaling tensor analysis up by 100 times - algorithms and discoveries
Proceedings of the 18th ACM SIGKDD international conference on Knowledge discovery and data mining
Rank-loss support instance machines for MIML instance annotation
Proceedings of the 18th ACM SIGKDD international conference on Knowledge discovery and data mining
Magnet community identification on social networks
Proceedings of the 18th ACM SIGKDD international conference on Knowledge discovery and data mining
Unsupervised feature selection for linked social media data
Proceedings of the 18th ACM SIGKDD international conference on Knowledge discovery and data mining
The Synchronizing Probability Function of an Automaton
SIAM Journal on Discrete Mathematics
On the Solution of the GPS Localization and Circle Fitting Problems
SIAM Journal on Optimization
Approximating Symmetric Positive Semidefinite Tensors of Even Order
SIAM Journal on Imaging Sciences
Lyapunov Methods for Time-Invariant Delay Difference Inclusions
SIAM Journal on Control and Optimization
Low-rank Matrix Recovery via Iteratively Reweighted Least Squares Minimization
SIAM Journal on Optimization
Designing Optimal Spectral Filters for Inverse Problems
SIAM Journal on Scientific Computing
SIAM Journal on Control and Optimization
Consistency of Multidimensional Convex Regression
Operations Research
Approximate Dynamic Programming via a Smoothed Linear Program
Operations Research
Optimization Under Probabilistic Envelope Constraints
Operations Research
Minimizing Condition Number via Convex Programming
SIAM Journal on Matrix Analysis and Applications
Further Results for Perron-Frobenius Theorem for Nonnegative Tensors II
SIAM Journal on Matrix Analysis and Applications
Fast Calculation of Spectral Bounds for Hessian Matrices on Hyperrectangles
SIAM Journal on Matrix Analysis and Applications
Combining time and frequency domain specifications for periodic signals
RV'11 Proceedings of the Second international conference on Runtime verification
Modelling the tradeoffs in Overlay-ISP cooperation
IFIP'12 Proceedings of the 11th international IFIP TC 6 conference on Networking - Volume Part II
Positive semidefinite metric learning using boosting-like algorithms
The Journal of Machine Learning Research
Query strategies for evading convex-inducing classifiers
The Journal of Machine Learning Research
Entropy search for information-efficient global optimization
The Journal of Machine Learning Research
Confidence-weighted linear classification for text categorization
The Journal of Machine Learning Research
Eliciting forecasts from self-interested experts: scoring rules for decision makers
Proceedings of the 11th International Conference on Autonomous Agents and Multiagent Systems - Volume 2
Computing optimal strategy against quantal response in security games
Proceedings of the 11th International Conference on Autonomous Agents and Multiagent Systems - Volume 2
Concurrency and Computation: Practice & Experience
Power-efficient resource allocation with QoS guarantees for TDMA fading channels
Wireless Communications & Mobile Computing
Reference index-based H.264 video watermarking scheme
ACM Transactions on Multimedia Computing, Communications, and Applications (TOMCCAP) - Special Issue on Multimedia Security
Inhibition in multiclass classification
Neural Computation
Improving the energy efficiency of power line communications by spectrum sensing
Proceedings of the International Conference on Advances in Computing, Communications and Informatics
Personalized click shaping through lagrangian duality for online recommendation
SIGIR '12 Proceedings of the 35th international ACM SIGIR conference on Research and development in information retrieval
Crowdsourcing to smartphones: incentive mechanism design for mobile phone sensing
Proceedings of the 18th annual international conference on Mobile computing and networking
Robustness maximization of parallel multichannel systems
Journal of Electrical and Computer Engineering - Special issue on Resource Allocation in Communications and Computing
Computer Networks: The International Journal of Computer and Telecommunications Networking
Evaluating trajectory queries over imprecise location data
SSDBM'12 Proceedings of the 24th international conference on Scientific and Statistical Database Management
Maximizing network lifetime through optimal power consumption in wireless sensor networks
ICISP'12 Proceedings of the 5th international conference on Image and Signal Processing
A weight regularized relaxation based graph matching algorithm
IScIDE'11 Proceedings of the Second Sino-foreign-interchange conference on Intelligent Science and Intelligent Data Engineering
A hybrid steepest descent method for l-infinity geometry problems
IScIDE'11 Proceedings of the Second Sino-foreign-interchange conference on Intelligent Science and Intelligent Data Engineering
Optimal bandwidth sharing in multiswarm multiparty P2P video-conferencing systems
IEEE/ACM Transactions on Networking (TON)
Link-state routing with hop-by-hop forwarding can achieve optimal traffic engineering
IEEE/ACM Transactions on Networking (TON)
The MADlib analytics library: or MAD skills, the SQL
Proceedings of the VLDB Endowment
Enhancing point clouds accuracy of small baseline images based on convex optimization
IEA/AIE'12 Proceedings of the 25th international conference on Industrial Engineering and Other Applications of Applied Intelligent Systems: advanced research in applied artificial intelligence
The use of shapley value to power allocation games in cognitive radio networks
IEA/AIE'12 Proceedings of the 25th international conference on Industrial Engineering and Other Applications of Applied Intelligent Systems: advanced research in applied artificial intelligence
ISCO'12 Proceedings of the Second international conference on Combinatorial Optimization
Max-min fairness in 802.11 mesh networks
IEEE/ACM Transactions on Networking (TON)
SAR image reconstruction and autofocus by compressed sensing
Digital Signal Processing
Dynamic energy-aware capacity provisioning for cloud computing environments
Proceedings of the 9th international conference on Autonomic computing
Approximate decoding approaches for network coded correlated data
Signal Processing
A longitudinal study of vibration-based water flow sensing
ACM Transactions on Sensor Networks (TOSN)
Sharpening comparisons via gaussian copulas and semidefinite programming
ACM Transactions on Modeling and Computer Simulation (TOMACS)
On the Computational Complexity of Stochastic Controller Optimization in POMDPs
ACM Transactions on Computation Theory (TOCT)
Neural Processing Letters
Journal of Computational Neuroscience
McPAO: A Distributed Multi-channel Power Allocation and Optimization Algorithm for Femtocells
Mobile Networks and Applications
CRISP: collusion-resistant incentive-compatible routing and forwarding in opportunistic networks
Proceedings of the 15th ACM international conference on Modeling, analysis and simulation of wireless and mobile systems
Linear semi-supervised projection clustering by transferred centroid regularization
Journal of Intelligent Information Systems
New parameterized kernel functions for linear optimization
Journal of Global Optimization
Optimal tag suppression for privacy protection in the semantic Web
Data & Knowledge Engineering
Letters: Enhancing sparsity via ℓp (0
Neurocomputing
Integration, the VLSI Journal
A distributed pricing algorithm for achieving network-wide proportional fairness
Wireless Communications & Mobile Computing
Wireless Communications & Mobile Computing
Decentralized estimation over noisy channels in cluster-based wireless sensor networks
International Journal of Communication Systems
Online thermal control methods for multiprocessor systems
ACM Transactions on Design Automation of Electronic Systems (TODAES) - Special section on adaptive power management for energy and temperature-aware computing systems
Soft error-aware power optimization using gate sizing
PATMOS'07 Proceedings of the 17th international conference on Integrated Circuit and System Design: power and timing modeling, optimization and simulation
Optimization for real-time systems with non-convex power versus speed models
PATMOS'07 Proceedings of the 17th international conference on Integrated Circuit and System Design: power and timing modeling, optimization and simulation
Don't ask me what i'm like, just watch and listen
Proceedings of the 20th ACM international conference on Multimedia
Leveraging read rates of passive RFID tags for real-time indoor location tracking
Proceedings of the 21st ACM international conference on Information and knowledge management
Acquiring temporal constraints between relations
Proceedings of the 21st ACM international conference on Information and knowledge management
An optimization based empirical mode decomposition scheme
Journal of Computational and Applied Mathematics
Joint iterative algorithm for optimal cooperative spectrum sensing in cognitive radio networks
Computer Communications
Sparse principal component analysis by choice of norm
Journal of Multivariate Analysis
Trust prediction via aggregating heterogeneous social networks
Proceedings of the 21st ACM international conference on Information and knowledge management
Proceedings of the Seventh ACM International Conference on Underwater Networks and Systems
Proceedings of the Seventh ACM International Conference on Underwater Networks and Systems
Computation for Maximum Stable Grasping in Dynamic Force Distribution
Journal of Intelligent and Robotic Systems
The quantum dynamic capacity formula of a quantum channel
Quantum Information Processing
Public and private resource trade-offs for a quantum channel
Quantum Information Processing
Distributed caching over heterogeneous mobile networks
Queueing Systems: Theory and Applications
On Opportunistic Power Control for Alamouti and SM MIMO Systems
Wireless Personal Communications: An International Journal
On incentive-based inter-domain caching for content delivery in future internet architectures
Proceedings of the Asian Internet Engineeering Conference
Segmentation with non-linear regional constraints via line-search cuts
ECCV'12 Proceedings of the 12th European conference on Computer Vision - Volume Part I
Auto-grouped sparse representation for visual analysis
ECCV'12 Proceedings of the 12th European conference on Computer Vision - Volume Part I
Sparse coding and dictionary learning for symmetric positive definite matrices: a kernel approach
ECCV'12 Proceedings of the 12th European conference on Computer Vision - Volume Part II
Efficient optimization for low-rank integrated bilinear classifiers
ECCV'12 Proceedings of the 12th European conference on Computer Vision - Volume Part II
Diverse M-best solutions in markov random fields
ECCV'12 Proceedings of the 12th European conference on Computer Vision - Volume Part V
A novel fast method for L∞ problems in multiview geometry
ECCV'12 Proceedings of the 12th European conference on Computer Vision - Volume Part V
Simplified labeling process for medical image segmentation
MICCAI'12 Proceedings of the 15th international conference on Medical Image Computing and Computer-Assisted Intervention - Volume Part II
Two-view underwater structure and motion for cameras under flat refractive interfaces
ECCV'12 Proceedings of the 12th European conference on Computer Vision - Volume Part IV
Efficient point-to-subspace query in ℓ1 with application to robust face recognition
ECCV'12 Proceedings of the 12th European conference on Computer Vision - Volume Part IV
Reconstructing 3d human pose from 2d image landmarks
ECCV'12 Proceedings of the 12th European conference on Computer Vision - Volume Part IV
A robust and efficient doubly regularized metric learning approach
ECCV'12 Proceedings of the 12th European conference on Computer Vision - Volume Part IV
JELIA'12 Proceedings of the 13th European conference on Logics in Artificial Intelligence
SUM'12 Proceedings of the 6th international conference on Scalable Uncertainty Management
An efficiently computable support measure for frequent subgraph pattern mining
ECML PKDD'12 Proceedings of the 2012 European conference on Machine Learning and Knowledge Discovery in Databases - Volume Part I
Stochastic coordinate descent methods for regularized smooth and nonsmooth losses
ECML PKDD'12 Proceedings of the 2012 European conference on Machine Learning and Knowledge Discovery in Databases - Volume Part I
Technical Section: Aesthetic photo composition by optimal crop-and-warp
Computers and Graphics
Using equivalences of worlds for aggregation semantics of relational conditionals
KI'12 Proceedings of the 35th Annual German conference on Advances in Artificial Intelligence
Pareto curves for probabilistic model checking
ATVA'12 Proceedings of the 10th international conference on Automated Technology for Verification and Analysis
Online prediction under submodular constraints
ALT'12 Proceedings of the 23rd international conference on Algorithmic Learning Theory
Lower bounds on individual sequence regret
ALT'12 Proceedings of the 23rd international conference on Algorithmic Learning Theory
Polyhedral analysis using parametric objectives
SAS'12 Proceedings of the 19th international conference on Static Analysis
Robust Simulation of Global Warming Policies Using the DICE Model
Management Science
Pathwise Optimization for Optimal Stopping Problems
Management Science
PaperVis: literature review made easy
EuroVis'11 Proceedings of the 13th Eurographics / IEEE - VGTC conference on Visualization
Approaching utopia: strong truthfulness and externality-resistant mechanisms
Proceedings of the 4th conference on Innovations in Theoretical Computer Science
Runtime guarantees for regression problems
Proceedings of the 4th conference on Innovations in Theoretical Computer Science
Stochastic signaling in the presence of channel state information uncertainty
Digital Signal Processing
Image retrieval with query-adaptive hashing
ACM Transactions on Multimedia Computing, Communications, and Applications (TOMCCAP)
Journal of Visual Communication and Image Representation
Efficiently learning the preferences of people
Machine Learning
ICONIP'12 Proceedings of the 19th international conference on Neural Information Processing - Volume Part I
ICONIP'12 Proceedings of the 19th international conference on Neural Information Processing - Volume Part III
Hazy: making it easier to build and maintain big-data analytics
Communications of the ACM
Quantifying quantum correlations in fermionic systems using witness operators
Quantum Information Processing
User Modeling and User-Adapted Interaction
Proceedings of the International Conference on Computer-Aided Design
Efficient parametric yield estimation of analog/mixed-signal circuits via Bayesian model fusion
Proceedings of the International Conference on Computer-Aided Design
Active hashing and its application to image and text retrieval
Data Mining and Knowledge Discovery
Proceedings of the Winter Simulation Conference
Convex and monotonic bootstrapped kriging
Proceedings of the Winter Simulation Conference
Hazy: Making it Easier to Build and Maintain Big-data Analytics
Queue - Web Development
Performance Analysis of Cognitive Radio Multiple-Access Channels Over Dynamic Fading Environments
Wireless Personal Communications: An International Journal
Sopt: ontology for simulation optimization for scientific experiments
Proceedings of the Winter Simulation Conference
Proceedings of the Winter Simulation Conference
A two-stage non-linear program for optimal electrical grid power balance under uncertainty
Proceedings of the Winter Simulation Conference
Effects of Piracy on Quality of Information Goods
Management Science
Inverse Optimization: A New Perspective on the Black-Litterman Model
Operations Research
Efficient resource allocation algorithm for OFDMA systems with delay constraint
Computer Communications
Fairness and isolation in multi-tenant storage as optimization decomposition
ACM SIGOPS Operating Systems Review
Using early view patterns to predict the popularity of youtube videos
Proceedings of the sixth ACM international conference on Web search and data mining
Balanced label propagation for partitioning massive graphs
Proceedings of the sixth ACM international conference on Web search and data mining
Overlapping community detection at scale: a nonnegative matrix factorization approach
Proceedings of the sixth ACM international conference on Web search and data mining
Robust simulatoin of environmental policies using the dice model
Proceedings of the Winter Simulation Conference
Asymptotic Throughput Capacity Analysis of Multi-Channel, Multi-Interface Wireless Mesh Networks
Wireless Personal Communications: An International Journal
Confidence Weighted Mean Reversion Strategy for Online Portfolio Selection
ACM Transactions on Knowledge Discovery from Data (TKDD)
Computational Geometry: Theory and Applications
Computational Optimization and Applications
Time and Frequency Analysis of Particle Swarm Trajectories for Cognitive Machines
International Journal of Cognitive Informatics and Natural Intelligence
Fusion of local features for face recognition by multiple least square solutions
CCBR'12 Proceedings of the 7th Chinese conference on Biometric Recognition
AI'12 Proceedings of the 25th Australasian joint conference on Advances in Artificial Intelligence
On ensemble techniques for AIXI approximation
AGI'12 Proceedings of the 5th international conference on Artificial General Intelligence
Semidefinite Programming-Based Method for Implementing Linear Fitting to Interval-Valued Data
International Journal of Fuzzy System Applications
Emitter localization using received-strength-signal data
Signal Processing
Information Sciences: an International Journal
Journal of Artificial Intelligence Research
On Multiple Access Using H-ARQ with SIC Techniques for Wireless Ad Hoc Networks
Wireless Personal Communications: An International Journal
Resource Allocation Schemes for the Heterogeneous OFDMA System with Multiple Ad Hoc Relays
Wireless Personal Communications: An International Journal
A framework for optimization under limited information
Journal of Global Optimization
Optimal design of neuro-mechanical oscillators
Computers and Structures
The Consistency of the Medical Expert System CADIAG-2: A Probabilistic Approach
Journal of Information Technology Research
Approximating Joint Probability Distributions Given Partial Information
Decision Analysis
A theory of pricing private data
Proceedings of the 16th International Conference on Database Theory
The maximized discriminative subspace for manifold learning problem
IScIDE'12 Proceedings of the third Sino-foreign-interchange conference on Intelligent Science and Intelligent Data Engineering
Low-rank quadratic semidefinite programming
Neurocomputing
Proceedings of the 2013 ACM international symposium on International symposium on physical design
Optimal resource allocation for Medium Grain Scalable video transmission over MIMO channels
Journal of Visual Communication and Image Representation
Inconsistency measures for probabilistic logics
Artificial Intelligence
Heterogeneity-Aware optimal power allocation in data center environments
ICPCA/SWS'12 Proceedings of the 2012 international conference on Pervasive Computing and the Networked World
Towards non-linear constraint estimation for expensive optimization
EvoApplications'13 Proceedings of the 16th European conference on Applications of Evolutionary Computation
Improved design of unimodular waveforms for MIMO radar
Multidimensional Systems and Signal Processing
Power Allocation Schemes in OFDM-Based Femtocell Networks
Wireless Personal Communications: An International Journal
Space-Time Codebook Design for Spread Systems
Wireless Personal Communications: An International Journal
Novel Fairness Improved Radio Resource Management Schemes for Best-Effort Service in OFDMA Systems
Wireless Personal Communications: An International Journal
Regularizers for structured sparsity
Advances in Computational Mathematics
Stabhyli: a tool for automatic stability verification of non-linear hybrid systems
Proceedings of the 16th international conference on Hybrid systems: computation and control
On the performance of adaptive pruned Volterra filters
Signal Processing
Information preservation in statistical privacy and bayesian estimation of unattributed histograms
Proceedings of the 2013 ACM SIGMOD International Conference on Management of Data
Metaheuristic algorithms for inverse problems
International Journal of Innovative Computing and Applications
Improved Feature Selection by Incorporating Gene Similarity into the LASSO
International Journal of Knowledge Discovery in Bioinformatics
Near-Potential Games: Geometry and Dynamics
ACM Transactions on Economics and Computation - Special Issue on Algorithmic Game Theory
Efficient Market Making via Convex Optimization, and a Connection to Online Learning
ACM Transactions on Economics and Computation - Special Issue on Algorithmic Game Theory
Probabilistic Temporal Logic Falsification of Cyber-Physical Systems
ACM Transactions on Embedded Computing Systems (TECS) - Special Section on Probabilistic Embedded Computing
Multipath TCP algorithms: theory and design
Proceedings of the ACM SIGMETRICS/international conference on Measurement and modeling of computer systems
An Algorithm for Approximating Convex Pareto Surfaces Based on Dual Techniques
INFORMS Journal on Computing
Minimax estimation methods under ellipsoidal constraints
Automation and Remote Control
Primal and dual-based algorithms for sensing range adjustment in WSNs
The Journal of Supercomputing
Design of adaptive robust guaranteed cost controller for wind power generator
International Journal of Automation and Computing
Efficient robust digital annulus fitting with bounded error
DGCI'13 Proceedings of the 17th IAPR international conference on Discrete Geometry for Computer Imagery
Adaptive resource management for P2P live streaming systems
Future Generation Computer Systems
On the security of distributed power system state estimation under targeted attacks
Proceedings of the 28th Annual ACM Symposium on Applied Computing
Demand response computation for future smart grids incorporating wind power
Proceedings of the 28th Annual ACM Symposium on Applied Computing
Local solutions of maximum likelihood estimation in quantum state tomography
Quantum Information & Computation
Integration of directional smell sense on an UGV
MICAI'12 Proceedings of the 11th Mexican international conference on Advances in Artificial Intelligence - Volume Part I
Local 3d symmetry for visual saliency in 2.5d point clouds
ACCV'12 Proceedings of the 11th Asian conference on Computer Vision - Volume Part I
Simultaneous multiple rotation averaging using lagrangian duality
ACCV'12 Proceedings of the 11th Asian conference on Computer Vision - Volume Part III
Differential pricing with inequity aversion in social networks
Proceedings of the fourteenth ACM conference on Electronic commerce
PinPoint: localizing interfering radios
nsdi'13 Proceedings of the 10th USENIX conference on Networked Systems Design and Implementation
Author disambiguation by hierarchical agglomerative clustering with adaptive stopping criterion
Proceedings of the 36th international ACM SIGIR conference on Research and development in information retrieval
Byzantine vector consensus in complete graphs
Proceedings of the 2013 ACM symposium on Principles of distributed computing
Area optimization on fixed analog floorplans using convex area functions
Proceedings of the Conference on Design, Automation and Test in Europe
Proceedings of the ACM SIGCOMM 2013 conference on SIGCOMM
Profitable scheduling on multiple speed-scalable processors
Proceedings of the twenty-fifth annual ACM symposium on Parallelism in algorithms and architectures
Optimal reconstruction of material properties in complex multiphysics phenomena
Journal of Computational Physics
Min-max optimal control of linear systems with uncertainty and terminal state constraints
Automatica (Journal of IFAC)
On elliptical quantiles in the quantile regression setup
Journal of Multivariate Analysis
Flexible and robust co-regularized multi-domain graph clustering
Proceedings of the 19th ACM SIGKDD international conference on Knowledge discovery and data mining
An efficient ADMM algorithm for multidimensional anisotropic total variation regularization problems
Proceedings of the 19th ACM SIGKDD international conference on Knowledge discovery and data mining
Multi-source learning with block-wise missing data for Alzheimer's disease prediction
Proceedings of the 19th ACM SIGKDD international conference on Knowledge discovery and data mining
Guided learning for role discovery (GLRD): framework, algorithms, and applications
Proceedings of the 19th ACM SIGKDD international conference on Knowledge discovery and data mining
Direct optimization of ranking measures for learning to rank models
Proceedings of the 19th ACM SIGKDD international conference on Knowledge discovery and data mining
Selective sampling on graphs for classification
Proceedings of the 19th ACM SIGKDD international conference on Knowledge discovery and data mining
Unsupervised sentiment analysis with emotional signals
Proceedings of the 22nd international conference on World Wide Web
Know your personalization: learning topic level personalization in online services
Proceedings of the 22nd international conference on World Wide Web
A simple, combinatorial algorithm for solving SDD systems in nearly-linear time
Proceedings of the forty-fifth annual ACM symposium on Theory of computing
Enhancements of G3-PLC technology for smart-home/building applications
Journal of Electrical and Computer Engineering - Special issue on Power-Line Communications: Smart Grid, Transmission, and Propagation
A game theory distributed approach for energy optimization in WSNs
ACM Transactions on Sensor Networks (TOSN)
Pathbook: Cross-layer optimization for full-duplex wireless networks
Computer Networks: The International Journal of Computer and Telecommunications Networking
System-level leakage variability mitigation for MPSoC platforms using body-bias islands
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Real-time implementation of physical-layer network coding
Proceedings of the second workshop on Software radio implementation forum
On the performance of largest-deficit-first for scheduling real-time traffic in wireless networks
Proceedings of the fourteenth ACM international symposium on Mobile ad hoc networking and computing
ACM Transactions on Mathematical Software (TOMS)
Multisample aCGH Data Analysis via Total Variation and Spectral Regularization
IEEE/ACM Transactions on Computational Biology and Bioinformatics (TCBB)
Service-oriented heterogeneous resource sharing for optimizing service latency in mobile cloud
Proceedings of the first international workshop on Mobile cloud computing & networking
Network denoising in social media
Proceedings of the 2013 IEEE/ACM International Conference on Advances in Social Networks Analysis and Mining
DATE '12 Proceedings of the Conference on Design, Automation and Test in Europe
Compositional system-level design exploration with planning of high-level synthesis
DATE '12 Proceedings of the Conference on Design, Automation and Test in Europe
Independent control of multiple magnetic microrobots in three dimensions
International Journal of Robotics Research
QACO: exploiting partial execution in web servers
Proceedings of the 2013 ACM Cloud and Autonomic Computing Conference
Efficient online learning for multitask feature selection
ACM Transactions on Knowledge Discovery from Data (TKDD)
Multilabel relationship learning
ACM Transactions on Knowledge Discovery from Data (TKDD)
RF-compass: robot object manipulation using RFIDs
Proceedings of the 19th annual international conference on Mobile computing & networking
Structure preserving non-negative matrix factorization for dimensionality reduction
Computer Vision and Image Understanding
Probabilistic non-linear distance metric learning for constrained clustering
Proceedings of the 4th MultiClust Workshop on Multiple Clusterings, Multi-view Data, and Multi-source Knowledge-driven Clustering
Journal of Computational Physics
Distortion-aware scalable video streaming to multinetwork clients
IEEE/ACM Transactions on Networking (TON)
Quasi-Newton methods: a new direction
The Journal of Machine Learning Research
The Journal of Machine Learning Research
Optimization of cloud task processing with checkpoint-restart mechanism
SC '13 Proceedings of the International Conference on High Performance Computing, Networking, Storage and Analysis
SC '13 Proceedings of the International Conference on High Performance Computing, Networking, Storage and Analysis
Characterization and modeling of PIDX parallel I/O for performance optimization
SC '13 Proceedings of the International Conference on High Performance Computing, Networking, Storage and Analysis
The Journal of Machine Learning Research
Trading regret for efficiency: online convex optimization with long term constraints
The Journal of Machine Learning Research
Static prediction games for adversarial learning problems
The Journal of Machine Learning Research
Smoothing multivariate performance measures
The Journal of Machine Learning Research
A branch and bound algorithm for the global optimization of Hessian Lipschitz continuous functions
Journal of Global Optimization
Convergence of Price-Based Resource Allocation Algorithms in Multicellular Multicarrier Systems
Wireless Personal Communications: An International Journal
Forecasting user visits for online display advertising
Information Retrieval
Co-segmentation of 3D shapes via multi-view spectral clustering
The Visual Computer: International Journal of Computer Graphics
Symmetry properties in structural optimization: some extensions
Structural and Multidisciplinary Optimization
Cross-Layer Design Using Superposition Coding Scheme for Multiuser OFDM Systems
Wireless Personal Communications: An International Journal
Ergodic Sum Rate Maximization for Underlay Spectrum Sharing with Heterogeneous Traffic
Wireless Personal Communications: An International Journal
Geometry preserving multi-task metric learning
Machine Learning
Opportunistic bi-directional scheduling under quality of experience demands
Proceedings of the 2nd ACM workshop on High performance mobile opportunistic systems
Inverse dynamic hair modeling with frictional contact
ACM Transactions on Graphics (TOG)
Fast simulation of mass-spring systems
ACM Transactions on Graphics (TOG)
Energy-aware distributed scheduling for multimedia streaming over Internet of Things
International Journal of Ad Hoc and Ubiquitous Computing
Optimal Sampling Laws for Stochastically Constrained Simulation Optimization on Finite Sets
INFORMS Journal on Computing
Robust Filter-and-forward Beamforming Design for Two-way Multi-antenna Relaying Networks
Mobile Networks and Applications
Interference Management and Power Allocation for Energy-Efficient Cognitive Femtocell Networks
Mobile Networks and Applications
Proceedings of the International Conference on Bioinformatics, Computational Biology and Biomedical Informatics
Online portfolio selection: A survey
ACM Computing Surveys (CSUR)
Batch Mode Active Sampling Based on Marginal Probability Distribution Matching
ACM Transactions on Knowledge Discovery from Data (TKDD) - Special Issue on ACM SIGKDD 2012
Enumeration approach to computing chemical equilibria
Theoretical Computer Science
Generalized mean for feature extraction in one-class classification problems
Pattern Recognition
Advertising object in web videos
Neurocomputing
Optimizing QoS in energy-aware real-time systems
ACM SIGBED Review - Special Issue on the Work-in-Progress (WiP) session of the 33rd IEEE Real-Time Systems Symposium (RTSS'12)
Slice embedding solutions for distributed service architectures
ACM Computing Surveys (CSUR)
Joint clustering and feature selection
WAIM'13 Proceedings of the 14th international conference on Web-Age Information Management
Improving spectral efficiency in cooperative cellular networks via convex optimisation
International Journal of Intelligent Engineering Informatics
Pathlet learning for compressing and planning trajectories
Proceedings of the 21st ACM SIGSPATIAL International Conference on Advances in Geographic Information Systems
Fast algorithms and performance bounds for sum rate maximization in wireless networks
IEEE/ACM Transactions on Networking (TON)
Jointly optimal rate control and relay selection for cooperative wireless video streaming
IEEE/ACM Transactions on Networking (TON)
Polynomial-Time verification of PCTL properties of MDPs with convex uncertainties
CAV'13 Proceedings of the 25th international conference on Computer Aided Verification
Journal of Parallel and Distributed Computing
Letters: Two-dimensional relaxed representation
Neurocomputing
Computer Vision and Image Understanding
A Scalable Low-Cost Solution to Provide Personalised Home Heating Advice to Households
Proceedings of the 5th ACM Workshop on Embedded Systems For Energy-Efficient Buildings
From decision fusion to localization in radar sensor networks: a game theoretical view
WASA'13 Proceedings of the 8th international conference on Wireless Algorithms, Systems, and Applications
Energy-Efficient scheduling with time and processors eligibility restrictions
Euro-Par'13 Proceedings of the 19th international conference on Parallel Processing
Duty-cycle optimization for IEEE 802.15.4 wireless sensor networks
ACM Transactions on Sensor Networks (TOSN)
A convex optimization method to solve a filter design problem
Journal of Computational and Applied Mathematics
Heavy-traffic revenue maximization in parallel multiclass queues
Performance Evaluation
Partial correspondence based on subgraph matching
Neurocomputing
Multiple rank multi-linear SVM for matrix data classification
Pattern Recognition
Towards minimal-delay deadline-driven data center TCP
Proceedings of the Twelfth ACM Workshop on Hot Topics in Networks
Stochastic surveillance strategies for spatial quickest detection
International Journal of Robotics Research
Domain adaptation with topical correspondence learning
IJCAI'13 Proceedings of the Twenty-Third international joint conference on Artificial Intelligence
Adaptive loss minimization for semi-supervised elastic embedding
IJCAI'13 Proceedings of the Twenty-Third international joint conference on Artificial Intelligence
Protein function prediction by integrating multiple kernels
IJCAI'13 Proceedings of the Twenty-Third international joint conference on Artificial Intelligence
Robust median reversion strategy for on-line portfolio selection
IJCAI'13 Proceedings of the Twenty-Third international joint conference on Artificial Intelligence
Interactive value iteration for Markov decision processes with unknown rewards
IJCAI'13 Proceedings of the Twenty-Third international joint conference on Artificial Intelligence
Social spammer detection in microblogging
IJCAI'13 Proceedings of the Twenty-Third international joint conference on Artificial Intelligence
SCMF: sparse covariance matrix factorization for collaborative filtering
IJCAI'13 Proceedings of the Twenty-Third international joint conference on Artificial Intelligence
Social trust prediction using heterogeneous networks
ACM Transactions on Knowledge Discovery from Data (TKDD)
Audio classification with low-rank matrix representation features
ACM Transactions on Intelligent Systems and Technology (TIST) - Special Section on Intelligent Mobile Knowledge Discovery and Management Systems and Special Issue on Social Web Mining
Large-scale multilabel propagation based on efficient sparse graph construction
ACM Transactions on Multimedia Computing, Communications, and Applications (TOMCCAP)
Piecewise linear spine for speed-energy efficiency trade-off in quadruped robots
Robotics and Autonomous Systems
Computer Networks: The International Journal of Computer and Telecommunications Networking
Exploring demand flexibility in heterogeneous aggregators: An LMP-based pricing scheme
ACM Transactions on Embedded Computing Systems (TECS) - Special Section ESFH'12, ESTIMedia'11 and Regular Papers
Two-stage stochastic optimization for optimal power flow under renewable generation uncertainty
ACM Transactions on Modeling and Computer Simulation (TOMACS) - Special issue on simulation in complex service systems
A qualitative path planner for robot navigation using human-provided maps
International Journal of Robotics Research
Proceedings of the Ninth IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis
Safety verification for linear systems
Proceedings of the Eleventh ACM International Conference on Embedded Software
Stability-aware analysis and design of embedded control systems
Proceedings of the Eleventh ACM International Conference on Embedded Software
Inferring the impacts of social media on crowdfunding
Proceedings of the 7th ACM international conference on Web search and data mining
International Journal of Autonomous and Adaptive Communications Systems
Journal of Medical Systems
Atomic optimization. I. Search Space Transformation and One-dimensional Problems
Automation and Remote Control
Sparse Representation Shape Models
Journal of Mathematical Imaging and Vision
Methods to explore design space for MPEG RMC codec specifications
Image Communication
Optimal pricing and capacity partitioning for tiered access service in virtual networks
Computer Networks: The International Journal of Computer and Telecommunications Networking
A fuzzy syllogistic reasoning schema for generalized quantifiers
Fuzzy Sets and Systems
Proceedings of the International Conference on Computer-Aided Design
A polynomial time algorithm for solving the word-length optimization problem
Proceedings of the International Conference on Computer-Aided Design
Proceedings of the International Conference on Computer-Aided Design
A tutorial on rank-based coefficient estimation for censored data in small- and large-scale problems
Statistics and Computing
PD with sliding mode control for trajectory tracking of robotic system
Robotics and Computer-Integrated Manufacturing
On the maximal singularity-free ellipse of planar 3-RP R parallel mechanisms via convex optimization
Robotics and Computer-Integrated Manufacturing
Learning classification models from multiple experts
Journal of Biomedical Informatics
Multi-path utility maximization and multi-path TCP design
Journal of Parallel and Distributed Computing
A hierarchical approach for primitive-based motion planning and control of autonomous vehicles
Robotics and Autonomous Systems
Accelerated training of max-margin Markov networks with kernels
Theoretical Computer Science
Exploring smart grid and data center interactions for electric power load balancing
ACM SIGMETRICS Performance Evaluation Review
Construction of approximation spaces for reinforcement learning
The Journal of Machine Learning Research
Convex and scalable weakly labeled SVMs
The Journal of Machine Learning Research
Gaussian Kullback-Leibler approximate inference
The Journal of Machine Learning Research
The rate of convergence of AdaBoost
The Journal of Machine Learning Research
Supervised feature selection in graphs with path coding penalties and network flows
The Journal of Machine Learning Research
Maximum volume clustering: a new discriminative clustering approach
The Journal of Machine Learning Research
Stationary-sparse causality network learning
The Journal of Machine Learning Research
Multivariate convex regression with adaptive partitioning
The Journal of Machine Learning Research
Communication-efficient algorithms for statistical optimization
The Journal of Machine Learning Research
Modeling contextual agreement in preferences
Proceedings of the 23rd international conference on World wide web
Camera-IMU-based localization: Observability analysis and consistency improvement
International Journal of Robotics Research
Numerical solution of the Optimal Transportation problem using the Monge-Ampère equation
Journal of Computational Physics
Supervised feature subset selection with ordinal optimization
Knowledge-Based Systems
Improved sparse coding under the influence of perceptual attention
Neural Computation
A novel iterative method for computing generalized inverse
Neural Computation
Privacy-preserving and verifiable protocols for scientific computation outsourcing to the cloud
Journal of Parallel and Distributed Computing
Optimal scheduling and power allocation in cooperate-to-join cognitive radio networks
IEEE/ACM Transactions on Networking (TON)
Robust power allocation for energy-efficient location-aware networks
IEEE/ACM Transactions on Networking (TON)
Multi-Antenna AF Two-Way Relaying Over Nakagami-$$m$$m Fading Channels
Wireless Personal Communications: An International Journal
Wireless Personal Communications: An International Journal
Wireless Personal Communications: An International Journal
Wireless Personal Communications: An International Journal
Joint optimal AF relay assignment and power allocation in wireless cooperative networks
Computer Networks: The International Journal of Computer and Telecommunications Networking
Colbar: A collaborative location-based regularization framework for QoS prediction
Information Sciences: an International Journal
A two-step resource allocation procedure for LTE-based cognitive radio network
Computer Networks: The International Journal of Computer and Telecommunications Networking
New methods for calculating $$\alpha $$BB-type underestimators
Journal of Global Optimization
Minimal resources identifiability and estimation of quantum channels
Quantum Information Processing
Rules for trajectory updating in decision based design
Structural and Multidisciplinary Optimization
An entire space polynomial-time algorithm for linear programming
Journal of Global Optimization
Power Allocation for Amplify-and-Forward Relaying with Correlated Shadowing
Wireless Personal Communications: An International Journal
Distributed Lifetime and Data Rate Optimization with Dynamic Approximation in Wireless Networks
Wireless Personal Communications: An International Journal
Joint Source and Relay Precoder Design in Amplify-and-Forward MIMO Relay Systems with Direct Link
Wireless Personal Communications: An International Journal
Weighted Sum Rate Maximization for Downlink Multiuser Relay Network with Direct Link
Wireless Personal Communications: An International Journal
Computers in Biology and Medicine
Wireless Personal Communications: An International Journal
Wireless Personal Communications: An International Journal
Control of limit states in absorbing resource networks
Automation and Remote Control
Global convergence of modified multiplicative updates for nonnegative matrix factorization
Computational Optimization and Applications
Speaker Tracking Using Recursive EM Algorithms
IEEE/ACM Transactions on Audio, Speech and Language Processing (TASLP)
Coordinated Precoding Techniques for Multi-cell MISO-OFDM Networks
Wireless Personal Communications: An International Journal
Wireless Personal Communications: An International Journal
Linear and Nonlinear Precoding Schemes for Centralized Multicell MIMO-OFDM Systems
Wireless Personal Communications: An International Journal
Sensing Confidence Level-Based Joint Spectrum and Power Allocation in Cognitive Radio Networks
Wireless Personal Communications: An International Journal
Self-calibration of stationary non-rotating zooming cameras
Image and Vision Computing
An Adversarial Optimization Approach to Efficient Outlier Removal
Journal of Mathematical Imaging and Vision
Journal of Global Optimization
A Consequence Relation for Graded Inference within the Frame of Infinite-valued Łukasiewicz Logic
Fundamenta Informaticae - MFCS & CSL 2010 Satellite Workshops: Selected Papers
Discrete Tomography Data Footprint Reduction via Natural Compression
Fundamenta Informaticae - Strategies for Tomography
Fast inference in generalized linear models via expected log-likelihoods
Journal of Computational Neuroscience
Predictive Distribution of the Dirichlet Mixture Model by Local Variational Inference
Journal of Signal Processing Systems
Embedded local feature selection within mixture of experts
Information Sciences: an International Journal
The dropout learning algorithm
Artificial Intelligence
Sparse iterative closest point
SGP '13 Proceedings of the Eleventh Eurographics/ACMSIGGRAPH Symposium on Geometry Processing
SGP '13 Proceedings of the Eleventh Eurographics/ACMSIGGRAPH Symposium on Geometry Processing
An overview of bayesian methods for neural spike train analysis
Computational Intelligence and Neuroscience - Special issue on Modeling and Analysis of Neural Spike Trains
Hi-index | 3.36 |