Low-Voltage Differentiator for VHF Filtering
Analog Integrated Circuits and Signal Processing
Noise analysis of phase-locked loops
Proceedings of the 2000 IEEE/ACM international conference on Computer-aided design
Journal of Electronic Testing: Theory and Applications
Design issues in low-voltage high-speed current-mode logic buffers
Proceedings of the 13th ACM Great Lakes symposium on VLSI
Proceedings of the 13th ACM Great Lakes symposium on VLSI
VLSID '03 Proceedings of the 16th International Conference on VLSI Design
Low power startup circuits for voltage and current reference with zero steady state current
Proceedings of the 2003 international symposium on Low power electronics and design
A low-power design methodology for high-resolution pipelined analog-to-digital converters
Proceedings of the 2003 international symposium on Low power electronics and design
Circuit Design of an On-Chip Temperature-Compensated Constant Transconductance Reference
Analog Integrated Circuits and Signal Processing
Integration, the VLSI Journal
Proceedings of the conference on Design, automation and test in Europe - Volume 1
Design and optimization of MOS current mode logic for parameter variations
Proceedings of the 14th ACM Great Lakes symposium on VLSI
Correct-by-construction layout-centric retargeting of large analog designs
Proceedings of the 41st annual Design Automation Conference
Systematic Design for Power Minimization of Pipelined Analog-to-Digital Converters
Proceedings of the 2003 IEEE/ACM international conference on Computer-aided design
A novel continuous-time common-mode feedback for low-voltage switched-OPAMP
Proceedings of the 2004 international symposium on Low power electronics and design
Analytical expressions for phase noise eigenfunctions of LC oscillators
Proceedings of the 2004 Asia and South Pacific Design Automation Conference
Analysis of MOS cross-coupled LC-tank oscillators using short-channel device equations
Proceedings of the 2004 Asia and South Pacific Design Automation Conference
Proceedings of the 2004 Asia and South Pacific Design Automation Conference
Proceedings of the 2004 Asia and South Pacific Design Automation Conference
Hierarchical extraction and verification of symmetry constraints for analog layout automation
Proceedings of the 2004 Asia and South Pacific Design Automation Conference
Synthesis of CMOS Analog Cells Using AMIGO
DATE '03 Proceedings of the conference on Design, Automation and Test in Europe: Designers' Forum - Volume 2
Effects of Noise and Jitter in Bandpass Sampling
Analog Integrated Circuits and Signal Processing
An On-Chip Signal Suppression Countermeasure to Power Analysis Attacks
IEEE Transactions on Dependable and Secure Computing
Design of ultrahigh-speed low-voltage CMOS CML buffers and latches
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Proceedings of the conference on Design, Automation and Test in Europe - Volume 1
Design and optimization of MOS current mode logic for parameter variations
Integration, the VLSI Journal - Special issue: ACM great lakes symposium on VLSI
Analog Integrated Circuits and Signal Processing
Systematic development of analog circuit structural macromodels through behavioral model decoupling
Proceedings of the 42nd annual Design Automation Conference
Template-driven parasitic-aware optimization of analog integrated circuit layouts
Proceedings of the 42nd annual Design Automation Conference
Bias Current Generators with Wide Dynamic Range
Analog Integrated Circuits and Signal Processing
A 200 MHz 4.8 mW 3 V Fully Differential CMOS Sample-and-Hold Circuit with Low Hold Pedestal
Analog Integrated Circuits and Signal Processing
An Active Recursive RF Filter in 0.35 µm BiCMOS
Analog Integrated Circuits and Signal Processing
A Novel Method for Bandwidth and Phase Margin Enhancement of Folded-Cascode Amplifier
Analog Integrated Circuits and Signal Processing
EURASIP Journal on Wireless Communications and Networking
Design Guidelines for the Noise Optimization of a 0.18 µm CMOS Low-Noise Amplifier
Analog Integrated Circuits and Signal Processing
An implementation of a CMOS down-conversion mixer for GSM1900 receiver
ASP-DAC '06 Proceedings of the 2006 Asia and South Pacific Design Automation Conference
Hierarchical analysis of process variation for mixed-signal systems
Proceedings of the 2005 Asia and South Pacific Design Automation Conference
A novel differential VCO circuit design for USB Hub
Proceedings of the 2005 Asia and South Pacific Design Automation Conference
A generalized quadrature bandpass sampling in radio receivers
Proceedings of the 2005 Asia and South Pacific Design Automation Conference
A digital calibration algorithm for implementing accurate on-chip resistors
Analog Integrated Circuits and Signal Processing
Nonlinearity Analysis in ISD CMOS LNA's Using Volterra Series
GLSVLSI '06 Proceedings of the 16th ACM Great Lakes symposium on VLSI
Systematic and optimal design of CMOS two-stage opamps with hybrid cascode compensation
Proceedings of the conference on Design, automation and test in Europe: Proceedings
Energy Conservation in Sensor Networks through Selective Node Activation
WOWMOM '06 Proceedings of the 2006 International Symposium on on World of Wireless, Mobile and Multimedia Networks
Dose control circuit for digital electrostatic electron-beam array lithography
Analog Integrated Circuits and Signal Processing
A high density, carbon nanotube capacitor for decoupling applications
Proceedings of the 43rd annual Design Automation Conference
Minimum component SRCO and VFO using a single DVCCC
Analog Integrated Circuits and Signal Processing
SC filter for RF sampling and downconversion with wideband image rejection
Analog Integrated Circuits and Signal Processing
Electrical characterization of analogue and RF integrated circuits by thermal measurements
Microelectronics Journal
Area efficient loop filter design for charge pump phase locked loop
Proceedings of the 17th ACM Great Lakes symposium on VLSI
A symmetric mos current-mode logic universal gate for high speed applications
Proceedings of the 17th ACM Great Lakes symposium on VLSI
Tunable linear CMOS current mirror
Analog Integrated Circuits and Signal Processing
A low-distortion CMOS IF VGA with linear-in-dB control and temperature compensation
Analog Integrated Circuits and Signal Processing
Integration, the VLSI Journal
Design of high-resolution MOSFET-only pipelined ADCs with digital calibration
Proceedings of the conference on Design, automation and test in Europe
An effective AMS top-down methodology applied to the design of a mixed-signal UWB system-on-chip
Proceedings of the conference on Design, automation and test in Europe
A fully-integrated 5 Gbit/s CMOS clock and data recovery circuit
Analog Integrated Circuits and Signal Processing
Variation resilient low-power circuit design methodology using on-chip phase locked loop
Proceedings of the 44th annual Design Automation Conference
Simultaneous multi-topology multi-objective sizing across thousands of analog circuit topologies
Proceedings of the 44th annual Design Automation Conference
A systematic method to approximate capacitance ratios to improve capacitance matching in SC filters
Proceedings of the 20th annual conference on Integrated circuits and systems design
Design of an integrated low power high CMRR instrumentation amplifier for biomedical applications
Proceedings of the 20th annual conference on Integrated circuits and systems design
A 9.6 kb/s CMOS FSK modem for data transmission through power lines
Proceedings of the 20th annual conference on Integrated circuits and systems design
Design of high-speed clock and data recovery circuits
Analog Integrated Circuits and Signal Processing
Statistical performance modeling and optimization
Foundations and Trends in Electronic Design Automation
Robustness of radial basis functions
Neurocomputing
Performance of current mirror with high-k gate dielectrics
Microelectronic Engineering
A Robust 130 nm-CMOS Built-In Current Sensor Dedicated to RF Applications
Journal of Electronic Testing: Theory and Applications
Journal of Electronic Testing: Theory and Applications
Adaptive post-silicon tuning for analog circuits: concept, analysis and optimization
Proceedings of the 2007 IEEE/ACM international conference on Computer-aided design
Device-circuit co-optimization for mixed-mode circuit design via geometric programming
Proceedings of the 2007 IEEE/ACM international conference on Computer-aided design
Modeling, optimization and control of rotary traveling-wave oscillator
Proceedings of the 2007 IEEE/ACM international conference on Computer-aided design
A 570-kbps ASK demodulator without external capacitors for low-frequency wireless bio-implants
Microelectronics Journal
Parametric variability analysis for multistage analog circuits using analytical sensitivity modeling
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Design of a wideband low-power continuous-time ΣΔ modulator in 90 nm CMOS technology
Analog Integrated Circuits and Signal Processing
A 60-GHz broad-band frequency divider in 0.13-µm CMOS
IMCAS'07 Proceedings of the 6th WSEAS International Conference on Instrumentation, Measurement, Circuits and Systems
Electrical models for vertical carbon nanotube capacitors
Proceedings of the 18th ACM Great Lakes symposium on VLSI
Analog Integrated Circuits and Signal Processing
A novel higly accurate current mirror
COMPUCHER'07 Proceedings of the 1st WSEAS International Conference on Computational Chemistry
A design-for-digital-testability circuit structure for Σ-Δ modulators
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Topology synthesis of analog circuits based on adaptively generated building blocks
Proceedings of the 45th annual Design Automation Conference
Low power high bandwidth amplifier with RC Miller and gain enhanced feedforward compensation
Proceedings of the 13th international symposium on Low power electronics and design
Proceedings of the 13th international symposium on Low power electronics and design
Periodic steady-state analysis augmented with design equality constraints
Proceedings of the conference on Design, automation and test in Europe
A novel technique for improving temperature independency of ring-ADC
Proceedings of the conference on Design, automation and test in Europe
Current mode read-out circuit for infrared photodiode applications in 0.35 μm cmos
Proceedings of the 21st annual symposium on Integrated circuits and system design
Design of an integrated low power high CMRR instrumentation amplifier for biomedical applications
Analog Integrated Circuits and Signal Processing
An approximation algorithm to improve capacitance matching in the design of SC filters
Analog Integrated Circuits and Signal Processing
MINO'08 Proceedings of the 7th WSEAS International Conference on Microelectronics, Nanoelectronics, Optoelectronics
Ultra-wideband (UWB) CMOS power amplifier design and implementation
International Journal of Communication Networks and Distributed Systems
A low power high-speed 8-bit pipelining CLA design using dual-threshold voltage domino logic
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
A novel current reference based on subthreshold MOSFETs with high PSRR
Microelectronics Journal
A mixed-signal demodulator for a low-complexity IR-UWB receiver: Methodology, simulation and design
Integration, the VLSI Journal
Reconfigurable ΔΣ modulator topology design through hierarchical mapping and constraint extraction
Integration, the VLSI Journal
Integration, the VLSI Journal
Design of low-power single-stage operational amplifiers based on an optimized settling model
Analog Integrated Circuits and Signal Processing
A programmable voltage reference design
MINO'07 Proceedings of the 6th conference on Microelectronics, nanoelectronics, optoelectronics
Automated extraction of expert knowledge in analog topology selection and sizing
Proceedings of the 2008 IEEE/ACM International Conference on Computer-Aided Design
RF-analog circuit design in scaled SoC
Proceedings of the 2009 Asia and South Pacific Design Automation Conference
Design approach for fast-settling two-stage amplifiers employing current-buffer Miller compensation
Analog Integrated Circuits and Signal Processing
Functionals of brownian bridges arising in the current mismatch in d/a converters
Probability in the Engineering and Informational Sciences
A New Low-Power 13.56-MHz CMOS Ring Oscillator with Low Sensitivity of fOSC to VDD
IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences
An IIP2 Calibration Technique for Zero-IF Multi Band down Converter Mixer
IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences
Reduction of Bootstrapped Switch Area Consumption Using Pre-Charge Phase
IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences
A Novel Open Loop Structure for Phase Shifting and Frequency Synthesizing
IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences
IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences
Design of CMOS OTAs for Low-Voltage and Low-Power Application
IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences
Ultra wideband, low-power, 3-5.6GHz, CMOS voltage-controlled oscillator
Microelectronics Journal
A low voltage low power CMOS based 4GHz VCO for RF applications
EHAC'09 Proceedings of the 8th WSEAS international conference on Electronics, hardware, wireless and optical communication
ECC'08 Proceedings of the 2nd conference on European computing conference
A novel sub-1 volt bandgap reference with all CMOS
ICC'08 Proceedings of the 12th WSEAS international conference on Circuits
Proceedings of the 22nd Annual Symposium on Integrated Circuits and System Design: Chip on the Dunes
High abstraction level CAD tool implementation of MOS drain current models
Microelectronics Journal
Proceedings of the 46th Annual Design Automation Conference
A wide dynamic range CMOS PFM digital pixel sensor with in-pixel variable voltage reference
Analog Integrated Circuits and Signal Processing
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
Design of millimeter-wave CMOS radios: a tutorial
IEEE Transactions on Circuits and Systems Part I: Regular Papers
A decorrelating design-for-digital-testability scheme for Σ-Δ modulators
IEEE Transactions on Circuits and Systems Part I: Regular Papers
A CMOS VGA with DC offset cancellation for direct-conversion receivers
IEEE Transactions on Circuits and Systems Part I: Regular Papers
IEEE Transactions on Circuits and Systems Part I: Regular Papers
A second-order antialiasing prefilter for a software-defined radio receiver
IEEE Transactions on Circuits and Systems Part I: Regular Papers
Nanopower subthreshold MCML in submicrometer CMOS technology
IEEE Transactions on Circuits and Systems Part I: Regular Papers - Special section on 2008 custom integrated circuits conference (CICC 2008)
CSS '07 Proceedings of the Fifth IASTED International Conference on Circuits, Signals and Systems
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
Design and optimization of MOS current mode logic for parameter variations
Integration, the VLSI Journal - Special issue: ACM great lakes symposium on VLSI
CMOS active transformers and their applications in voltage-controlled quadrature oscillators
Analog Integrated Circuits and Signal Processing
CMOS voltage reference based on threshold voltage and thermal voltage
Analog Integrated Circuits and Signal Processing
Oscillator design based on frequency jitter technique
ASID'09 Proceedings of the 3rd international conference on Anti-Counterfeiting, security, and identification in communication
Implementation of curvature compensated high precision bandgap reference circuit
ASID'09 Proceedings of the 3rd international conference on Anti-Counterfeiting, security, and identification in communication
A 0.5-V wideband amplifier for a 1-MHz CT complex delta-sigma modulator
IEEE Transactions on Circuits and Systems II: Express Briefs
A 5.25-GHz low-power down-conversion mixer in 0.18-μm CMOS technology
Analog Integrated Circuits and Signal Processing
An alternative to source degeneration of CMOS differential pair
Analog Integrated Circuits and Signal Processing
High speed, high resolution and low power approaches for SAR A/D converter
WiCOM'09 Proceedings of the 5th International Conference on Wireless communications, networking and mobile computing
Proceedings of the International Conference and Workshop on Emerging Trends in Technology
Design techniques for ASK demodulators of passive wireless microsystems: a state-of-the-art review
Analog Integrated Circuits and Signal Processing
Spectral Prediction for Specification-Based Loopback Test of Embedded Mixed-Signal Circuits
Journal of Electronic Testing: Theory and Applications
A fully integrated CMOS voltage regulator for supply-noise-insensitive charge pump PLL design
Microelectronics Journal
Multiband RF-sampling receiver front-end with on-chip testability in 0.13 µm CMOS
Analog Integrated Circuits and Signal Processing
Impact of shrinking technologies on the activation function of neurons
ICANN'07 Proceedings of the 17th international conference on Artificial neural networks
Proceedings of the 20th symposium on Great lakes symposium on VLSI
Design of CMOS LED driver and optical receiver for 650-nm POF applications
ISCIT'09 Proceedings of the 9th international conference on Communications and information technologies
Novel power supply independent ring oscillator
EHAC'10 Proceedings of the 9th WSEAS international conference on Electronics, hardware, wireless and optical communications
Novel temperature independent ring oscillator
EHAC'10 Proceedings of the 9th WSEAS international conference on Electronics, hardware, wireless and optical communications
A large-signal analysis for a ring oscillator with negative skewed delay
CISST'10 Proceedings of the 4th WSEAS international conference on Circuits, systems, signal and telecommunications
A dual-compensated charge pump with reduced current mismatch
CISST'10 Proceedings of the 4th WSEAS international conference on Circuits, systems, signal and telecommunications
Current mode read-out circuit for InGaAs photodiode applications
Microelectronics Journal
A chip solution for UWB-NFC receiver in CMOS 0.18um technology
Proceedings of the 6th International Wireless Communications and Mobile Computing Conference
A 1V wide-tuning range quadrature VCO
ICICS'09 Proceedings of the 7th international conference on Information, communications and signal processing
Modeling the high-frequency degradation of phase/frequency detectors
IEEE Transactions on Circuits and Systems II: Express Briefs
A two-stage capacitive-feedback differencing amplifier for temporal contrast IR sensors
Analog Integrated Circuits and Signal Processing
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
High performance read-out IC design for IR image sensor applications
Analog Integrated Circuits and Signal Processing
Design of a residual current circuit breaker IC with anti-interference technique
Analog Integrated Circuits and Signal Processing
A 89-dB DR 457-μW 20-kHz bandwidth delta-sigma modulator with gain-boosting OTAs
Analog Integrated Circuits and Signal Processing
Design and implementation of an optoelectronics chipset for automotive wired network
ICACT'10 Proceedings of the 12th international conference on Advanced communication technology
A 65nm CMOS low-power, low-voltage bandgapreference with using self-biased composite cascode opamp
Proceedings of the 16th ACM/IEEE international symposium on Low power electronics and design
A CMOS vector lock-in amplifier for sensor applications
Microelectronics Journal
S-parameter analysis of 0.188µm LNA for WCDMA application
MINO'10 Proceedings of the 9th WSEAS international conference on Microelectronics, nanoelectronics, optoelectronics
A micro-sequenced CMOS model for cell signalling pathway using G-protein and phosphorylation cascade
International Journal of Computer Applications in Technology
A ring oscillator of remarkable power supply independent characteristic
WSEAS Transactions on Circuits and Systems
A ring oscillator with high temperature independency
WSEAS Transactions on Circuits and Systems
A high speed, highly linear CMOS fully differential track and hold circuit
SBCCI '10 Proceedings of the 23rd symposium on Integrated circuits and system design
A -60dB THD/100MHz true unity-gain voltage buffer CMOS circuit
SBCCI '10 Proceedings of the 23rd symposium on Integrated circuits and system design
A low-voltage low-power programmable fractional PLL in 0.18-μm CMOS process
Analog Integrated Circuits and Signal Processing
A 20 GS/s 1.2 V 0.13 µm CMOS switched cascode track-and-hold amplifier
IEEE Transactions on Circuits and Systems II: Express Briefs
Design of X -band and K a-band colpitts oscillators using a parasitic cancellation technique
IEEE Transactions on Circuits and Systems Part I: Regular Papers
An efficient delay model for MOS current-mode logic automated design and optimization
IEEE Transactions on Circuits and Systems Part I: Regular Papers
Correlation controlled sampling for efficient variability analysis of analog circuits
Proceedings of the Conference on Design, Automation and Test in Europe
Comparison of high impedance input topologies with low EMI susceptibility
Analog Integrated Circuits and Signal Processing
Power consumption of analog circuits: a tutorial
Analog Integrated Circuits and Signal Processing
A graph grammar based approach to automated multi-objective analog circuit design
Proceedings of the Conference on Design, Automation and Test in Europe
An overview of non-volatile memory technology and the implication for tools and architectures
Proceedings of the Conference on Design, Automation and Test in Europe
An area-efficient multistage 3.0- to 8.5-GHz CMOS UWB LNA using tunable active inductors
IEEE Transactions on Circuits and Systems II: Express Briefs
IEEE Transactions on Circuits and Systems II: Express Briefs
Constraint multi-objective automated synthesis for CMOS operational amplifier
LSMS/ICSEE'10 Proceedings of the 2010 international conference on Life system modeling and simulation and intelligent computing, and 2010 international conference on Intelligent computing for sustainable energy and environment: Part II
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
An area-efficient fully R-DAC-based TFT-LCD column driver
IEEE Transactions on Circuits and Systems Part I: Regular Papers
EMI-resistant CMOS differential input stages
IEEE Transactions on Circuits and Systems Part I: Regular Papers
Novel architecture for high-pass cascaded ΔΣ modulator
Analog Integrated Circuits and Signal Processing
A performance-constrained template-based layout retargeting algorithm for analog integrated circuits
Proceedings of the 2010 Asia and South Pacific Design Automation Conference
An area-efficient CMOS bandgap reference utilizing a switched-current technique
IEEE Transactions on Circuits and Systems II: Express Briefs
An autofocus sensor with global shutter using offset-free frame memory
IEEE Transactions on Circuits and Systems II: Express Briefs
High speed ant colony optimization CMOS chip
Expert Systems with Applications: An International Journal
Design margin exploration of spin-transfer torque RAM (STT-RAM) in scaled technologies
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
A chip-area efficient voltage regulator for VLSI systems
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Integrated circuit design for physical unclonable function using differential amplifiers
Analog Integrated Circuits and Signal Processing
MOS current mode circuits: analysis design and variability
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
A DLL design for testing I/O setup and hold times
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Analog Integrated Circuits and Signal Processing
Analog Integrated Circuits and Signal Processing
Large-scale integrated photonics for high-performance interconnects
ACM Journal on Emerging Technologies in Computing Systems (JETC)
Robust signaling techniques for through silicon via bundles
Proceedings of the 21st edition of the great lakes symposium on Great lakes symposium on VLSI
Design of an ultra low leakage buffer chain
ICC'06 Proceedings of the 10th WSEAS international conference on Circuits
Differential quartet, a novel circuit building block for high slew rate differential amplification
ICECS'05 Proceedings of the 4th WSEAS international conference on Electronics, control and signal processing
Design and implementation of a 0.8V input, 84% duty cycle, variable frequency step-up converter
Microelectronics Journal
A 5 GHz CMOS frequency synthesizer
Proceedings of the International Conference & Workshop on Emerging Trends in Technology
Tolerance of radial basis functions against stuck-at-faults
ICANN'05 Proceedings of the 15th international conference on Artificial neural networks: formal models and their applications - Volume Part II
A 1-V, 16.9 ppm/°C, 250 nA switched-capacitor CMOS voltage reference
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Multilevel power optimization of pipelined A/D converters
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
A 1.8 V 828 μW 80 dB digital MEMS microphone
Analog Integrated Circuits and Signal Processing
Analog Integrated Circuits and Signal Processing
A PLL based WSN transmitter and I/Q LO signal generator at 430---435 MHz
Analog Integrated Circuits and Signal Processing
A high precision low dropout regulator with nested feedback loops
Microelectronics Journal
A low-power direct digital frequency synthesizer using an analogue-sine-conversion technique
Proceedings of the 17th IEEE/ACM international symposium on Low-power electronics and design
Programmable analog device array (PANDA): a platform for transistor-level analog reconfigurability
Proceedings of the 48th Design Automation Conference
A low-noise front-end for multiplexed ENG recording using CMOS technology
Analog Integrated Circuits and Signal Processing
Implementation of CMOS flexible fuzzy logic controller chip in current mode
Fuzzy Sets and Systems
Automatic filter synthesis based on tree generation and evolutionary optimization
KES'11 Proceedings of the 15th international conference on Knowledge-based and intelligent information and engineering systems - Volume Part IV
A novel CMOS triode transconductor based on current division
Analog Integrated Circuits and Signal Processing
An 8 × 8 20 Gbps Reconfigurable Load Balanced TDM Switch IC for High-Speed Networking
Journal of Signal Processing Systems
Robustness of radial basis functions
IWANN'05 Proceedings of the 8th international conference on Artificial Neural Networks: computational Intelligence and Bioinspired Systems
Fast-settling CMOS Op-Amp with improved DC-gain
Analog Integrated Circuits and Signal Processing
Symbolic noise modeling, analysis and optimization of a CMOS input buffer
Analog Integrated Circuits and Signal Processing
A new approach to sizing analog CMOS building blocks using pre-compiled neural network models
Analog Integrated Circuits and Signal Processing
New battery status checking method for implantable biomedical applications
PATMOS'06 Proceedings of the 16th international conference on Integrated Circuit and System Design: power and Timing Modeling, Optimization and Simulation
Low power and low jitter wideband clock synthesizers in CMOS ASICs
PATMOS'06 Proceedings of the 16th international conference on Integrated Circuit and System Design: power and Timing Modeling, Optimization and Simulation
Improvement of Power Supply Rejection Ratio in Wheatstone-bridge based piezoresistive MEMS
Analog Integrated Circuits and Signal Processing
Analysis and design of a low power regulator for a fully integrated HF-band passive RFID tag IC
Analog Integrated Circuits and Signal Processing
A design-oriented methodology for accurate modeling of on-chip interconnects
Analog Integrated Circuits and Signal Processing
A CMOS voltage regulator for passive RFID tag ICs
International Journal of Circuit Theory and Applications
Behavioral modeling of pipeline ADC building blocks
International Journal of Circuit Theory and Applications
Versatile analog squarer and multiplier free from body effect
Analog Integrated Circuits and Signal Processing
Analog Integrated Circuits and Signal Processing
Analog Integrated Circuits and Signal Processing
A four-quadrant analog multiplier under a single power supply voltage
Analog Integrated Circuits and Signal Processing
0.35V, 4.1μW, 39MHz crystal oscillator in 40nm CMOS
Proceedings of the 2012 ACM/IEEE international symposium on Low power electronics and design
Digital-Compatible Testing Scheme for Operational Amplifier
Journal of Electronic Testing: Theory and Applications
An ANFIS based fuzzy controller for corner compensation
Analog Integrated Circuits and Signal Processing
A highly linear CMOS transconductance amplifier in 180 nm process technology
Analog Integrated Circuits and Signal Processing
A high speed, low jitter and fast acquisition CMOS phase frequency detector for charge pump PLL
VDAT'12 Proceedings of the 16th international conference on Progress in VLSI Design and Test
A new efficient SC integrator scheme for high-speed low-power applications
International Journal of Circuit Theory and Applications
An 8.38 fJ/conversion-step 0.6 V 8-b 4.35 MS/s asynchronous SAR ADC in 65 nm CMOS
Analog Integrated Circuits and Signal Processing
A generic low-noise CMOS readout interface for 64 × 64 imaging array with on-chip ADC
Analog Integrated Circuits and Signal Processing
A hybrid CT/DT double-sampled SMASH ΣΔ modulator for broadband applications in 90 nm CMOS technology
Analog Integrated Circuits and Signal Processing
Analog Integrated Circuits and Signal Processing
Photovoltaic lighting control with single chip integration
Analog Integrated Circuits and Signal Processing
Analysis and design of a 1.0-V CMOS mixer based on variable load technique
Microelectronics Journal
A low power low phase noise dual-band multiphase VCO
Microelectronics Journal
A linearized technique in an All-MOS transconductance amplifier
Microelectronics Journal
Low-voltage low-power Gm-C filters: a modified configuration for improving performance
Analog Integrated Circuits and Signal Processing
A high-speed CMOS image sensor with a 11-bit column-parallel A/D converter
Analog Integrated Circuits and Signal Processing
Performance-driven analog placement considering monotonic current paths
Proceedings of the International Conference on Computer-Aided Design
Linearity improvement of source degenerated transconductance amplifiers
Analog Integrated Circuits and Signal Processing
Engineering Applications of Artificial Intelligence
A 10GHz wideband VCO with low KVCO variation
Microelectronics Journal
Design of low phase noise and low power modified current-reused VCOs for 10GHz applications
Microelectronics Journal
A Reconfiguration Method to Improve the Yield of Bandwidth-Limited Pipelined ADCs
International Journal of Measurement Technologies and Instrumentation Engineering
A new fast locking charge pump PLL: analysis and design
Analog Integrated Circuits and Signal Processing
A 10-bit DAC with offset-adjustable op-amp for LCD column driver applications
Analog Integrated Circuits and Signal Processing
Analysis of low voltage bulk-driven self-biased high swing cascode current mirror
Microelectronics Journal
A 2íVDD output buffer with PVT detector for slew rate compensation
Microelectronics Journal
A combined low power SAR capacitance-to-digital analog-to-digital converter for multisensory system
Analog Integrated Circuits and Signal Processing
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
A behavior model of an on-chip high voltage generator for fast, system-level simulation
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
PVT variation tolerant current source with on-chip digital self-calibration
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
DATE '12 Proceedings of the Conference on Design, Automation and Test in Europe
Fast isomorphism testing for a graph-based analog circuit synthesis framework
DATE '12 Proceedings of the Conference on Design, Automation and Test in Europe
Split compensation for inverter-based two-stage amplifier
Microelectronics Journal
A 13-bit noise shaping SAR---ADC with dual-polarity digital calibration
Analog Integrated Circuits and Signal Processing
Analog Integrated Circuits and Signal Processing
General-purpose high-speed integrated lock-in amplifier with 30 dB dynamic reserve at 20 MHz
Analog Integrated Circuits and Signal Processing
Gate-leakage compensation scheme for programmable SI-DAC of ΣΔ modulator in deep sub-micron
Analog Integrated Circuits and Signal Processing
A memory efficient parallel layered QC-LDPC decoder for CMMB systems
Integration, the VLSI Journal
Design tradeoffs for sub-mW CMOS biomedical limiting amplifiers
Microelectronics Journal
Systematic analysis and cancellation of kickback noise in a dynamic latched comparator
Analog Integrated Circuits and Signal Processing
New single-parameter models for electronic circuits with even symmetry nonlinearity
Analog Integrated Circuits and Signal Processing
Design of a nanopower current reference with reduced process variability
Analog Integrated Circuits and Signal Processing
Integration, the VLSI Journal
Experimental performance analysis of a CMOS amplifier considering different layout techniques
Analog Integrated Circuits and Signal Processing
Design of a novel differential quadrature crystal oscillator
Analog Integrated Circuits and Signal Processing
Bias optimization of 2.4 GHz double gate MOSFET RF mixer
Analog Integrated Circuits and Signal Processing
Wireless Personal Communications: An International Journal
A 53mW IQ pipelined ADC for WLAN front end
Analog Integrated Circuits and Signal Processing
An automatic phase error compensating multiphase LC oscillator: analysis and design
Analog Integrated Circuits and Signal Processing
A new high-speed, high-resolution open-loop CMOS sample and hold
Analog Integrated Circuits and Signal Processing
A circuit implementation of an ultra high speed, low power analog fully programmable MFG
Journal of Intelligent & Fuzzy Systems: Applications in Engineering and Technology
Hi-index | 0.00 |
The CMOS technology area has quickly grown, calling for a new text--and here it is, covering the analysis and design of CMOS integrated circuits that practicing engineers need to master to succeed. Filled with many examples and chapter-ending problems, the book not only describes the thought process behind each circuit topology, but also considers the rationale behind each modification. The analysis and design techniques focus on CMOS circuits but also apply to other IC technologies.Table of contents1 Introduction to Analog Design2 Basic MOS Device Physics3 Single-Stage Amplifiers4 Differential Amplifiers5 Passive and Active Current Mirrors6 Frequency Response of Amplifiers7 Noise8 Feedback9 Operational Amplifiers10 Stability and Frequency Compensation11 Bandgap References12 Introduction to Switched-Capacitor Circuits13 Nonlinearity and Mismatch14 Oscillators15 Phase-Locked Loops16 Short-Channel Effects and Device Models17 CMOS Processing Technology18 Layout and Packaging